[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

RE: [ethmac] got my CRC running(mine is not)



Hi,

   Most likely you either append the crc wrongly or the data bit are put wrongly in the formula.

a short suggestion, look on the 4 bit file I Email and see how I append the data and the crc to the equation and how the equation compare to the one given in asics site.

that see that your do similar of course with 8 bit and equation different BUT the order should be the same.

I enclose an example for the 32 bit which I did the same as I did the 4 bit, again the first run is to generate the crc than I took the crc value put it as data and than in the second run where you need to use +define+second_run you will get the magic number. this should help you get on the right track.

have a nice day

   Illan


-----Original Message-----
From: waman mainkar [mailto:waman_m@yahoo.com]
Sent: Monday, May 06, 2002 5:52 PM
To: ethmac@opencores.org
Subject: Re: [ethmac] got my CRC running(mine is not)


HI,
i have got the equation from www.easic.be for 8 bit
data path and CRC-32....
and then for this function i am providing input 8 bit
data and a updating value of buffer like:

crcbuffer = countcrc [this is function]
(RxDI[data],crcbuffer);

and then on the positive edge of clk i am comparing it
with the magic no. and if it is equal then CRCOK in
1....

i believe this logic is correct. but, i am not able to
test it. so can anybody help me. i'll really
appriciate that.

waiting for replies.

Thanks,

Waman.




--- "Christian R. Brecht" <Brecht@cbedv.com> wrote:
> Hi all!
> 
> Thank you very much for your help! Finally I have a
> running version of my
> CRC (which in fact was never wrong, I just used it
> the wrong way )-:  )...
> 
> You've bee a big help! Thx!
> 
> Christian
> 
> 
>
-------------------------------------------------------
> Christian R. Brecht
> Brecht@cbEDV.com
>
-------------------------------------------------------
> 
> ----- Original Message -----
> From: "Illan Glasner" <iglasner@zumanetworks.com>
> To: <ethmac@opencores.org>
> Sent: Monday, May 06, 2002 7:47 PM
> Subject: FW: [ethmac] CRC question
> 
> 
> 
> Hi,
> 
>        From some reason my replay Email didn;t
> appear till now so I will
> re-send it in hope this time it will pass the
> barrier.
> 
> have a nice day
> 
>    Illan
> 
> -----Original Message-----
> From: Illan Glasner
> Sent: Friday, May 03, 2002 9:59 AM
> To: 'ethmac@opencores.org'
> Subject: RE: [ethmac] CRC question
> 
> 
> 
> Hi,
> 
>       Hope the following files will help you and any
> other who might be
> struggling with this crc as well as anyone who use
> crc RTL code in his
> behavoral instead of using task.
> 
> crc4.v :
> basicly the first run you simple compile it and it
> calculate crc for few
> data.
> 
> than I took this data which have the crc value and
> push it back and got the
> magic number.
> 
> for the second run you need to use th
> +define+second_run
> 
> of course the second run 8 extra data are determine
> from the first run so it
> is not "general" solution but it should help yu see
> why you don;t get the
> right value in your crc
> 
> crc_calc_task.v
> to make your simulation more effective I also added
> a task that you should
> use in any behavioral test bench which will
> calcualte the crc in zero time.
> 
> if you are intrested in how the crc equation are
> constract this task is the
> starting point as it have the basic single bit
> formula and than you can
> expand it for using it for any width as well as
> using De-Morgan rules you
> can get the equation for any width. (or simple go to
> asics site and get it
> done more quickly).
> 
> have a nice day
> 
>    Illan
> 
> 
> 
> -----Original Message-----
> From: Christian R. Brecht [mailto:Brecht@cbedv.com]
> Sent: Friday, May 03, 2002 3:42 AM
> To: ethmac@opencores.org
> Subject: [ethmac] CRC question
> 
> 
> 
> Dear All!
> 
> I know this is not an ethmac question but for you
> this will be an easy one:
> I am working on my diploma work right now and for
> some reasons I cannot use
> your ethernetcore as we need some special things
> implemented. But one thing
> is similar: the CRC in general.
> I guess I understood the CRC itself but for me it
> seems the CRC-32 for
> ethernet needs some weird extras like inverting the
> 32 bits and reflecting
> them as well. I will have to initialize the register
> with "F"s as the first
> step.
> 
> Q: After all, usually I have to put zeros into the
> CRCC. Do I have to put 0s
> into this CRC-32C as well, or do I need to put Fs to
> get it run (I need
> nibbles for input). The problem is I have two
> "testpatterns", one does the
> job (60 Bytes of zeros work with mine) the other
> doesn't. I used the CRC-32
> from easics.com (CRC-Tool). I tried almost every
> combination. Am I doing a
> major mistake?
> Does someone of you have an 4:32-implementation of
> an CRCC (well, I am
> programming in VHDL actually, but this should not be
> a problem)? Or another
> testpacket? I would be really happy about that.
> 
> Thank you very much for your time reading this.
> 
> 
> 
> Sincerely yours,
> Christian
> university of applied technology cologne
> 
> 
> --
> To unsubscribe from ethmac mailing list please visit
> http://www.opencores.org/mailinglists.shtml
> 
> 
> --
> To unsubscribe from ethmac mailing list please visit
http://www.opencores.org/mailinglists.shtml


__________________________________________________
Do You Yahoo!?
Yahoo! Health - your guide to health and wellness
http://health.yahoo.com
--
To unsubscribe from ethmac mailing list please visit http://www.opencores.org/mailinglists.shtml

crc32.v