[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [ecc] My Viterbi Project and Problem(help)





HI , did u try the trace back method  ? and by the way, while tracing back
did u start with any arbitrary state or did u find the state with the
smallest metric and start with that state to trace back ?
bye , cheers

 On Sat, 1 Dec 2001 malitj@263.net wrote:

> Hi ,
> I am a student. I have finished my viterbi 
> project:K=7;Rate=1/2,2/3,7/8;3 bit Soft ;f(max)=11MHz. but it is too 
> large (6464 Logic element in Altera's FPGA). I use Register Exchange 
> methde to implement Survivor Select and Update. So I used 64 ACS Unit.
> 
> How can I do better in the decreasing area of the viterbi decoder or 
> what is the problem of my decoder?
> 
> 
> Thanks!
> 
> Peter Ma
> 
> --
> To unsubscribe from ecc mailing list please visit http://www.opencores.org/mailinglists.shtml
> 

~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
K.G.Nanda Kumar
Senior Research Engineer
Satellite Communication
Centre for Development Of Telematics
71/1,millers road
Bangalore 560052
ph:2263399 Extn 324

--
To unsubscribe from ecc mailing list please visit http://www.opencores.org/mailinglists.shtml