[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[cvs-checkins] Import



CVSROOT:	/home/oc/cvs
Module name:	MiniUART
Changes by:	philippe	03/01/12 23:47:33

Log message:
    no message
    
    Status:
    
    Vendor Tag:	avendor
    Release Tags:	arelease
    
    C MiniUART/impl/info.txt
    N MiniUART/impl/Xilinx_xc2s15/automake.err
    N MiniUART/impl/Xilinx_xc2s15/uart.xpi
    N MiniUART/impl/Xilinx_xc2s15/__launchTA.tcl
    N MiniUART/impl/Xilinx_xc2s15/__ngdbuild.rsp
    N MiniUART/impl/Xilinx_xc2s15/__uart_2prj_exewrap.rsp
    N MiniUART/impl/Xilinx_xc2s15/_map.log
    N MiniUART/impl/Xilinx_xc2s15/_map.rsp
    N MiniUART/impl/Xilinx_xc2s15/_nc1TOncd_exewrap.rsp
    N MiniUART/impl/Xilinx_xc2s15/_ngdTOnc1_exewrap.rsp
    N MiniUART/impl/Xilinx_xc2s15/_par.log
    N MiniUART/impl/Xilinx_xc2s15/_par.rsp
    N MiniUART/impl/Xilinx_xc2s15/_prepar.rsp
    N MiniUART/impl/Xilinx_xc2s15/automake.log
    N MiniUART/impl/Xilinx_xc2s15/miniuart.jhd
    N MiniUART/impl/Xilinx_xc2s15/par.opt
    N MiniUART/impl/Xilinx_xc2s15/Rxunit.jhd
    N MiniUART/impl/Xilinx_xc2s15/Txunit.jhd
    N MiniUART/impl/Xilinx_xc2s15/uart._prj
    N MiniUART/impl/Xilinx_xc2s15/uart.bld
    N MiniUART/impl/Xilinx_xc2s15/uart.cup
    N MiniUART/impl/Xilinx_xc2s15/uart.dly
    N MiniUART/impl/Xilinx_xc2s15/uart.mrp
    N MiniUART/impl/Xilinx_xc2s15/uart.nc1
    N MiniUART/impl/Xilinx_xc2s15/uart.ncd
    N MiniUART/impl/Xilinx_xc2s15/uart.ngc
    N MiniUART/impl/Xilinx_xc2s15/uart.ngd
    N MiniUART/impl/Xilinx_xc2s15/uart.ngm
    N MiniUART/impl/Xilinx_xc2s15/uart.pad
    N MiniUART/impl/Xilinx_xc2s15/uart.par
    N MiniUART/impl/Xilinx_xc2s15/uart.pcf
    N MiniUART/impl/Xilinx_xc2s15/uart.prj
    N MiniUART/impl/Xilinx_xc2s15/uart.syr
    N MiniUART/impl/Xilinx_xc2s15/__ednTOngd_exewrap.rsp
    N MiniUART/impl/Xilinx_xc2s15/uart.xst
    N MiniUART/impl/Xilinx_xc2s15/uart_map.ncd
    N MiniUART/impl/Xilinx_xc2s15/uart_ngdbuild.nav
    N MiniUART/impl/Xilinx_xc2s15/utils.jhd
    N MiniUART/impl/Xilinx_xc2s15/xilinx.jid
    N MiniUART/impl/Xilinx_xc2s15/Xilinx.npl
    N MiniUART/impl/Xilinx_xc2s15/__projnav.log
    N MiniUART/impl/Xilinx_xc2s15/_ngo/netlist.lst
    C MiniUART/impl/Xilinx_xcs10/XILINX.PDF
    C MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx.alb
    C MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx.ucf
    C MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx.prj
    C MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx.EDF
    C MiniUART/impl/Xilinx_xcs10/Xilinx/miniuart.vhd
    C MiniUART/impl/Xilinx_xcs10/Xilinx/miniuart.log
    C MiniUART/impl/Xilinx_xcs10/Xilinx/express.ini
    C MiniUART/impl/Xilinx_xcs10/Xilinx/Rxunit.vhd
    C MiniUART/impl/Xilinx_xcs10/Xilinx/rxunit.log
    C MiniUART/impl/Xilinx_xcs10/Xilinx/Txunit.vhd
    C MiniUART/impl/Xilinx_xcs10/Xilinx/txunit.log
    C MiniUART/impl/Xilinx_xcs10/Xilinx/utils.vhd
    C MiniUART/impl/Xilinx_xcs10/Xilinx/utils.log
    C MiniUART/impl/Xilinx_xcs10/Xilinx/xproj.ini
    C MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx.bit
    C MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx.ll
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.DIR
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.HDR
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.GNR
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.SYN
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.PIN
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.BLK
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.SYM
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.MAP
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.INI
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.VIS
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.FIG
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.MOD
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.NET
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.FLG
    C MiniUART/impl/Xilinx_xcs10/Xilinx/lib/XILINX.ID
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/xilinx.xpj
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/version.vbf
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/netlist.lst
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/xilinx.ngo
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.obf
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/revision.rbf
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ucf
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/program.his
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.sml
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.imp
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/spartan.cfg
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/command.his
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bld
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ngd
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/fe.log
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.cel
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/rptbrwsr.dat
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.mrp
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ngm
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.pcf
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/map.ncd
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.par
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ncd
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.xpi
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.dly
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.pad
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.twr
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/bitgen.ut
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bgn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.drc
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.ll
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xproj/ver1/rev1/xilinx.bit
    C MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/xilinx.exp
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Anal.out
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.mra
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART__BEHAVIOUR.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Anal.info
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.mra
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RXUNIT__BEHAVIOUR.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.mra
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TXUNIT__BEHAVIOUR.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.mra
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.sim
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.mra
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/SYNCHRONISER__BEHAVIOUR.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/COUNTER__BEHAVIOUR.syn
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.out
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.hnl
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/UART.sts
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.out
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.hnl
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_130.sts
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.out
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.hnl
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/Counter_COUNT_4.sts
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.out
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.hnl
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/TxUnit.sts
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.out
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.hnl
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/synchroniser.sts
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.out
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.hnl
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/workdirs/WORK/RxUnit.sts
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/files/L1.rpt
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/files/L2.rpt
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/files/L3.rpt
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/files/L4.rpt
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.rpt
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.cst
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1/ver1.ws
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.ws
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.cst
    N MiniUART/impl/Xilinx_xcs10/Xilinx/xilinx/chips/ver1-Optimized/ver1-Optimized.rpt
    
    34 conflicts created by this import.
    Use the following command to help the merge:
    
    cvs checkout -javendor:yesterday -javendor MiniUART/impl
--
To unsubscribe from cvs-checkins mailing list please visit http://www.opencores.org/mailinglists.shtml