[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[cvs-checkins] sxp/timer_cont/sim test_timer.v



CVSROOT:	/home/oc/cvs
Module name:	sxp
Changes by:	samg	01/10/29 07:10:18

Added files:
	timer_cont/sim : test_timer.v 

Log message:
	testbench for timer controller

--
To unsubscribe from cvs-checkins mailing list please visit http://www.opencores.org/mailinglists.shtml