[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[bluetooth] Hi Anilnainwal,Jamil



Hi Anilnainwal,

what is the task you gonna devide to members??I know you are gonna do BT 
baseband in verilog code,this really attract me,since i gonna start a similiar project 
too.

Anyway,do you have any complete design of the BT baseband,pls upload it into the 
project side,I really need it as a reference for my final project.


Thank you

----- Original Message ----- 
From: Puloma Mukherjee <puloma@e... > 
To: "bluetooth@o... " <bluetooth@o... > 
ccsin@k... , anilnainwal@s...  
Date: Wed, 23 Apr 2003 10:24:20 -0400 (EDT) 
Subject: Re: [bluetooth] Hi Puloma, Jamil and everbody 

> 
> 
> 
> HI, 
> I have implemented the following blocks at the behavioural level 
> only and 
> tested it against the sample results provided in the bluetooth 
> specifications... 
> 
> FEC 
> HEC 
> CRC 
> 
> I was getting to the correlator bit but I have a few questions 
> regarding 
> the same.As for the scrambler,are we talking about the encryption 
> block or 
> the whitening block..? 
> 
>  On Wed, 23 Apr 2003, Jamil Khatib wrote: 
> 
> > 
> > Hi, 
> > As I mentioned in my last email we have to divide the work so 
> here are my 
> > suggestions for now 
> > 
> > - FEC 
> > - CRC 
> > - HEC 
> > - Correlator ( I can update it) 
> > - Scrambler ( I can update it) 
> > - Clock managment (I'll do it if no one can) 
> > - Hop frequency 
> > - Other blocks: I do not know if they are stable 
> > Just let me know which blocks you like to work in so as to 
> arrange 
> > everything 
> > 
> > We need also a good test bench may be done by software so as 
> to test our 
> > design and make sure that it is working. Can anyone try to 
> search for any 
> > simulator that we can test our code against it? 
> > 
> > 
> > There is a file in bluetooth_bb module called contants_pkg.vhd 
> please try to 
> > review it and make use of it and if you feel that other 
> contants must be 
> > added there let me know. 
> > 
> > I'll do my best to update the bluetooth design spec 
> > 
> > Note: You can download the old code from opencores CVS using 
> bluetooth moudle 
> > while the latest design document at bluetooth_bb module 
> > 
> > Please let me know how can we speed up the process 
> > 
> > Regards, 
> > Jamil Khatib 
> > 
> > On 4/21/2003, "anilnainwal@s... " 
> <anilnainwal@s... > 
> > wrote: 
> > 
> > >Hi Puloma 
> > >Ya I think it was me who contacted you earlier and ready 
> to help you as 
> > >much as i can do. 
> > > 
> > >Dear Jamil 
> > >Now i think there are many people who are taking interest 
> in this 
> > >project, so this is the high time to give it a boosting 
> kick. 
> > >I am new to opencores, so i dont have much idea of 
> implementing/ 
> > >writing the codes here. I shall be obliged if you can help 
> me in that, and 
> > >lets divide some work. 
> > > 
> > >Best Regards 
> > >Anil Nainwal 
> > > 
> > >----- Original Message ----- 
> > >From: Puloma Mukherjee <puloma@e... > 
> > >To: bluetooth@o... 
> > >Date: Sat, 19 Apr 2003 12:46:27 -0400 (EDT) 
> > >Subject: Re: [bluetooth] Re: hi 
> > > 
> > >> 
> > >> 
> > >> Hi, 
> > >> Before this some one wrote to me to help me witht he 
> project.I 
> > >> forgot who 
> > >> it was.please write back to confirm. 
> > >> 
> > >> On Fri, 18 Apr 2003 rtannous@u...  wrote: 
> > >> 
> > >> > 
> > >> > Hi . 
> > >> > I have done VHDL before. So I am not sure If I 
> can be of any 
> > >> help. In 
> > >> > case this project is not completely dead. Email 
> me back .. 
> > >> > regards, 
> > >> > Roland Tannous 
> > >> > ----- Original Message ----- 
> > >> > From: "Jamil Khatib" <jamilkhatib@h... > 
> > >> > To: bluetooth@o... 
> > >> > anilnainwal@o... 
> > >> > Date: Tue, 08 Apr 2003 05:32:55 GMT 
> > >> > Subject: [bluetooth] Re: hi 
> > >> > 
> > >> > > 
> > >> > > 
> > >> > > 
> > >> > > Hi, 
> > >> > > In fact the project is freezed because I 
> need someone to 
> > >> work 
> > >> > > with me on it specially in hte VHDL coding. 
> Can you help? 
> > >> > > 
> > >> > > Regards, 
> > >> > > Jamil KHatib 
> > >> > > anilnainwal@o...  writes: 
> > >> > > 
> > >> > > > hi everbody 
> > >> > > > Can anyone tell me the present status 
> of the 
> > >> bluetooth core. i 
> > >> > > would like 
> > >> > > > to join the group for the development 
> of bluetooth. 
> > >> > > > pls tell me the status, i would like 
> to work 
> > >> anywhere in the 
> > >> > > baseband. 
> > >> > > > 
> > >> > > > best regards 
> > >> > > > anil nainwal 
> > >> > > > 
> > >> > > > 
> > >> > > 
> > >> > 
> > >> > 
> > >> 
> > > 
> > 
> > 
> > 
> 
--
To unsubscribe from bluetooth mailing list please visit http://www.opencores.org/mailinglists.shtml