[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: Re: [bluetooth] Hi Puloma, Jamil and everbody



To all,

I would like to join you too,I think I can do the following design:
1 FEC
2 CRC
3 HEC
4 Hop frequency

My design will be in Verilog behavioral coding (not in RTL code),also i will do the testbench in Verilog too.I hope I could finish the first three design by end of next week and send to you.

Any update please don't forget to send to me too.

To Jamil Khatib,

Can you send me your latest design,please??

Best regards.

Terry Sin


 Jamil Khatib  <khatib@opencores.org> WROTE :- 

>From :  Jamil Khatib  <khatib@opencores.org>
TO :  puloma@ece.sunysb.edu  <puloma@ece.sunysb.edu>, bluetooth@opencores.org  <bluetooth@opencores.org>, ccsin@k7mail.com, anilnainwal@sofblueindia.com
Subject : Re: [bluetooth] Hi Puloma, Jamil and everbody

Hi,
As I mentioned in my last email we have to divide the work so here are my
suggestions for now

- FEC
- CRC
- HEC
- Correlator ( I can update it)
- Scrambler ( I can update it)
- Clock managment (I'll do it if no one can)
- Hop frequency
- Other blocks: I do not know if they are stable
Just let me know which blocks you like to work in so as to arrange
everything

We need also a good test bench may be done by software so as to test our
design and make sure that it is working. Can anyone try to search for any
simulator that we can test our code against it?


There is a file in bluetooth_bb module called contants_pkg.vhd please try to
review it and make use of it and if you feel that other contants must be
added there let me know.

I'll do my best to update the bluetooth design spec 

Note: You can download the old code from opencores CVS using bluetooth moudle
while the latest design document at bluetooth_bb module

Please let me know how can we speed up the process

Regards,
Jamil Khatib

On 4/21/2003, "anilnainwal@sofblueindia.com" <anilnainwal@sofblueindia.com>
wrote:

>Hi Puloma
>Ya I think it was me who contacted you earlier and ready to help you as
>much as i can do.
>
>Dear Jamil
>Now i think there are many people who are taking interest in this
>project, so this is the high time to give it a boosting kick.
>I am new to opencores, so i dont have much idea of implementing/
>writing the codes here. I shall be obliged if you can help me in that, and
>lets divide some work.
>
>Best Regards
>Anil Nainwal
>
>----- Original Message -----
>From: Puloma Mukherjee <puloma@e... >
>To: bluetooth@o...
>Date: Sat, 19 Apr 2003 12:46:27 -0400 (EDT)
>Subject: Re: [bluetooth] Re: hi
>
>>
>>
>> Hi,
>> Before this some one wrote to me to help me witht he project.I
>> forgot who
>> it was.please write back to confirm.
>>
>> On Fri, 18 Apr 2003 rtannous@u...  wrote:
>>
>> >
>> > Hi .
>> > I have done VHDL before. So I am not sure If I can be of any
>> help. In
>> > case this project is not completely dead. Email me back ..
>> > regards,
>> > Roland Tannous
>> > ----- Original Message -----
>> > From: "Jamil Khatib" <jamilkhatib@h... >
>> > To: bluetooth@o...
>> > anilnainwal@o...
>> > Date: Tue, 08 Apr 2003 05:32:55 GMT
>> > Subject: [bluetooth] Re: hi
>> >
>> > >
>> > >
>> > >
>> > > Hi,
>> > > In fact the project is freezed because I need someone to
>> work
>> > > with me on it specially in hte VHDL coding. Can you help?
>> > >
>> > > Regards,
>> > > Jamil KHatib
>> > > anilnainwal@o...  writes:
>> > >
>> > > > hi everbody
>> > > > Can anyone tell me the present status of the
>> bluetooth core. i
>> > > would like
>> > > > to join the group for the development of bluetooth.
>> > > > pls tell me the status, i would like to work
>> anywhere in the
>> > > baseband.
>> > > >
>> > > > best regards
>> > > > anil nainwal
>> > > >
>> > > >
>> > >
>> >
>> >
>>
>--
>To unsubscribe from bluetooth mailing list please visit
http://www.opencores.org/mailinglists.shtml

--
To unsubscribe from bluetooth mailing list please visit http://www.opencores.org/mailinglists.shtml