head 1.2; access; symbols rel_1_1:1.2 rel_1_0:1.2 rel_0_6_1_beta:1.2 rel_0_6__beta:1.2 rel_0_6_beta:1.2 rel_0_5_beta:1.2 rel_0_4_beta:1.2 rel_0_3_beta:1.2 rel_0_2_beta:1.2 rel_0_1_beta:1.2; locks; strict; comment @# @; 1.2 date 2004.04.14.20.53.54; author arniml; state Exp; branches; next 1.1; 1.1 date 2004.03.23.21.31.53; author arniml; state Exp; branches; next ; desc @@ 1.2 log @- add tb_istrobe_s @ text @------------------------------------------------------------------------------- -- -- $Id: t48_tb_pack-p.vhd,v 1.1 2004/03/23 21:31:53 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@@opencores.org) -- -- All rights reserved -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package t48_tb_pack is -- Instruction strobe visibility signal tb_istrobe_s : std_logic; -- Accumulator visibilty signal tb_accu_s : std_logic_vector(7 downto 0); end t48_tb_pack; @ 1.1 log @initial check-in @ text @d3 1 a3 1 -- $Id: t48_tb_pack-p.vhd,v 1.2 2004/03/17 22:19:59 arnim Exp $ d15 3 @