head 1.3; access; symbols rel_1_1:1.3 rel_1_0:1.3 rel_0_6_1_beta:1.3 rel_0_6__beta:1.3 rel_0_6_beta:1.3 rel_0_5_beta:1.2 rel_0_4_beta:1.2 rel_0_3_beta:1.2 rel_0_2_beta:1.2 rel_0_1_beta:1.2; locks; strict; comment @# @; 1.3 date 2005.06.11.10.08.43; author arniml; state Exp; branches; next 1.2; commitid 459c42aab8184567; 1.2 date 2004.04.04.14.18.53; author arniml; state Exp; branches; next 1.1; 1.1 date 2004.03.23.21.31.52; author arniml; state Exp; branches; next ; desc @@ 1.3 log @introduce prefix 't48_' for all packages, entities and configurations @ text @------------------------------------------------------------------------------- -- -- $Id: alu_pack-p.vhd,v 1.2 2004/04/04 14:18:53 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger (arniml@@opencores.org) -- -- All rights reserved -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_width_c; package t48_alu_pack is ----------------------------------------------------------------------------- -- The ALU operations ----------------------------------------------------------------------------- type alu_op_t is (ALU_AND, ALU_OR, ALU_XOR, ALU_CPL, ALU_CLR, ALU_RL, ALU_RR, ALU_SWAP, ALU_DEC, ALU_INC, ALU_ADD, ALU_CONCAT, ALU_NOP); ----------------------------------------------------------------------------- -- The dedicated ALU arithmetic types. ----------------------------------------------------------------------------- subtype alu_operand_t is std_logic_vector(word_width_c downto 0); end t48_alu_pack; ------------------------------------------------------------------------------- -- File History: -- -- $Log: alu_pack-p.vhd,v $ -- Revision 1.2 2004/04/04 14:18:53 arniml -- add measures to implement XCHD -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- ------------------------------------------------------------------------------- @ 1.2 log @add measures to implement XCHD @ text @d3 1 a3 1 -- $Id: alu_pack-p.vhd,v 1.1 2004/03/23 21:31:52 arniml Exp $ d16 1 a16 1 package alu_pack is d35 1 a35 1 end alu_pack; d42 3 a47 1 -- @ 1.1 log @initial check-in @ text @d3 1 a3 1 -- $Id: alu_pack-p.vhd,v 1.4 2004/03/17 22:18:07 arnim Exp $ d27 1 a27 1 ALU_DA, d41 4 a44 1 -- $Log$ @