head 1.5; access; symbols bg2_23:1.5 bg2_22:1.5 bg2_21:1.5 bg2_20:1.5 bg2_16:1.5 bg2_15:1.5 bg2_12:1.5 bg2_07:1.5 isorc2008_submission:1.5 handbook_alpha_edition:1.5 jtres2007_submission:1.5 bg1_07:1.5 bg1_06:1.5 bg1_05:1.5 TAL_101:1.5 TAL_100:1.5 jtres_submission:1.5 wises06_submission:1.5 lctes2006_submission:1.5 rtgc_isorc2006:1.5.0.4 isorc2006:1.5.0.2 rtgc_paper:1.5 bg1_00:1.5 nohandle:1.3; locks; strict; comment @:: @; 1.5 date 2005.07.26.12.06.32; author martin; state Exp; branches; next 1.4; commitid 1bf942e627454567; 1.4 date 2005.06.20.16.35.37; author martin; state Exp; branches; next 1.3; commitid 72d542b6f0574567; 1.3 date 2005.05.12.16.40.38; author martin; state Exp; branches; next 1.2; commitid 27a4428387044567; 1.2 date 2005.05.11.18.29.29; author martin; state Exp; branches; next 1.1; commitid 493542824f074567; 1.1 date 2005.05.11.17.49.20; author martin; state Exp; branches; next ; commitid 3cc74282459f4567; desc @@ 1.5 log @no message @ text @rem use Makefile instead set qu_proj=cycmin set projpath=quartus\%qu_proj% set p1=test set p2=vmtest set p3=DoAll cd java cd tools call build cd .. cd .. cd asm call jopser cd .. quartus_map %projpath%\jop quartus_fit %projpath%\jop quartus_asm %projpath%\jop quartus_tan %projpath%\jop rem jbi32 -dDO_PROGRAM=1 -aPROGRAM jbc\cycmin.jbc cd %projpath% quartus_pgm -c ByteBlasterMV -m JTAG jop.cdf cd ..\.. cd java\target call build %p1% %p2% %p3% rem start ping -n 100 192.168.0.123 ..\..\down -e dist\bin\%project%.jop COM1 cd ..\.. @ 1.4 log @no message @ text @d1 1 @ 1.3 log @use cycmin @ text @d3 2 a4 2 set p1=bench set p2=jbe @ 1.2 log @build tools @ text @d1 1 a1 1 set qu_proj=cycbaseio d3 1 a3 1 set p1= bench @ 1.1 log @resync with current development @ text @d7 5 @