head 1.1; branch 1.1.1; access ; symbols start':1.1.1.1 cd16:1.1.1; locks ; strict; comment @# @; 1.1 date 2003.08.15.17.25.47; author beckert; state Exp; branches 1.1.1.1; next ; 1.1.1.1 date 2003.08.15.17.25.47; author beckert; state Exp; branches ; next ; desc @@ 1.1 log @Initial revision @ text @-- Testbench library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.CD16pkg.all; entity bench is end bench; architecture mixed of bench is component TestSOC port(reset : in std_logic; clk2 : in std_logic; p0_in : in cell; p0_out : out cell; t_P,t_IR,t_W : out cell; -- test outputs t_SP, t_RP : out std_logic_vector(spwidth-1 downto 0); t_cv : out std_logic_vector(1 downto 0)); end component; constant Tp : time := 40 ns; -- 25 MHz signal clk2, reset : std_logic; signal p0_in, p0_out: cell; signal t_P, t_IR, t_W: cell; signal t_SP, t_RP : std_logic_vector(spwidth-1 downto 0); signal t_cv : std_logic_vector(1 downto 0); begin p0_in <= (others=>'0'); soc: TestSOC port map(reset,clk2,p0_in,p0_out,t_P,t_IR,t_W,t_SP,t_RP,t_cv); ck : PROCESS BEGIN clk2<='1'; wait for Tp/4; clk2<='0'; wait for Tp/4; END PROCESS; -- clk = ----____----____----____----____----____----____----____----____----____----____ -- reset = ------------____________________________________________________________________ tst: PROCESS BEGIN reset <= '1'; wait for 11.7 * Tp; -- provide a long reset to accommodate post-synthesis models reset <= '0'; wait; END PROCESS; go: process BEGIN wait for 12.9*Tp; -- P IR W SP RP cv assert(t_P=X"0000" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"00" and t_RP=X"00" and t_cv="00") report"0: nop"; wait for Tp; assert(t_P=X"0001" and t_IR=X"806F" and t_W=X"0000" and t_SP=X"00" and t_RP=X"00" and t_cv="00") report"1: Call DE"; wait for Tp; assert(t_P=X"00DE" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"00" and t_RP=X"FF" and t_cv="00") report"2: nop"; wait for Tp; assert(t_P=X"00DF" and t_IR=X"00F6" and t_W=X"0000" and t_SP=X"00" and t_RP=X"FF" and t_cv="00") report"3: G7=#C"; wait for Tp; assert(t_P=X"00E0" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"00" and t_RP=X"FF" and t_cv="00") report"4: nop"; wait for Tp; assert(t_P=X"00E1" and t_IR=X"01F2" and t_W=X"0000" and t_SP=X"00" and t_RP=X"FF" and t_cv="00") report"5: SP=G7"; wait for Tp; assert(t_P=X"00E2" and t_IR=X"0C06" and t_W=X"0000" and t_SP=X"0C" and t_RP=X"FF" and t_cv="00") report"6: Imm n=#10, Push n to data stack"; wait for Tp; assert(t_P=X"00E3" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"0B" and t_RP=X"FF" and t_cv="00") report"7: nop"; wait for Tp; assert(t_P=X"00E4" and t_IR=X"0C06" and t_W=X"0000" and t_SP=X"0B" and t_RP=X"FF" and t_cv="00") report"8: Imm n=#10, Push n to data stack"; wait for Tp; assert(t_P=X"00E5" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"0A" and t_RP=X"FF" and t_cv="00") report"9: nop"; wait for Tp; assert(t_P=X"00E6" and t_IR=X"0C06" and t_W=X"0000" and t_SP=X"0A" and t_RP=X"FF" and t_cv="00") report"A: Imm n=#2, Push n to data stack"; wait for Tp; assert(t_P=X"00E7" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"09" and t_RP=X"FF" and t_cv="00") report"B: nop"; wait for Tp; assert(t_P=X"00E8" and t_IR=X"0C06" and t_W=X"0000" and t_SP=X"09" and t_RP=X"FF" and t_cv="00") report"C: Imm n=#A, Push n to data stack"; wait for Tp; assert(t_P=X"00E9" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"08" and t_RP=X"FF" and t_cv="00") report"D: nop"; wait for Tp; assert(t_P=X"00EA" and t_IR=X"00F6" and t_W=X"0000" and t_SP=X"08" and t_RP=X"FF" and t_cv="00") report"E: G7=#40"; wait for Tp; assert(t_P=X"00EB" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"08" and t_RP=X"FF" and t_cv="00") report"F: nop"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00EC" and t_IR=X"01F2" and t_W=X"0000" and t_SP=X"08" and t_RP=X"FF" and t_cv="00") report"10: SP=G7"; wait for Tp; assert(t_P=X"00ED" and t_IR=X"00F6" and t_W=X"0000" and t_SP=X"40" and t_RP=X"FF" and t_cv="00") report"11: G7=#80"; wait for Tp; assert(t_P=X"00EE" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"FF" and t_cv="00") report"12: nop"; wait for Tp; assert(t_P=X"00EF" and t_IR=X"01FA" and t_W=X"0000" and t_SP=X"40" and t_RP=X"FF" and t_cv="00") report"13: RP=G7"; wait for Tp; assert(t_P=X"00F0" and t_IR=X"7103" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"14: REPeat next op 4 times."; wait for Tp; assert(t_P=X"00F1" and t_IR=X"58FF" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"15: G7=W=G7*2, latch CF"; wait for Tp; assert(t_P=X"00F1" and t_IR=X"58FF" and t_W=X"0100" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"16: G7=W=G7*2, latch CF"; wait for Tp; assert(t_P=X"00F1" and t_IR=X"58FF" and t_W=X"0200" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"17: G7=W=G7*2, latch CF"; wait for Tp; assert(t_P=X"00F1" and t_IR=X"58FF" and t_W=X"0400" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"18: G7=W=G7*2, latch CF"; wait for Tp; assert(t_P=X"00F2" and t_IR=X"00E6" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"19: G6=#8000"; wait for Tp; assert(t_P=X"00F3" and t_IR=X"0000" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"1A: nop"; wait for Tp; assert(t_P=X"00F4" and t_IR=X"00F6" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"1B: G7=#123"; wait for Tp; assert(t_P=X"00F5" and t_IR=X"0000" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"1C: nop"; wait for Tp; assert(t_P=X"00F6" and t_IR=X"6CFE" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"1D: Data(G6)=G7"; wait for Tp; assert(t_P=X"00F7" and t_IR=X"00A6" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"1E: G2=#100"; wait for Tp; assert(t_P=X"00F8" and t_IR=X"0000" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"1F: nop"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00F9" and t_IR=X"0096" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"20: G1=#123"; wait for Tp; assert(t_P=X"00FA" and t_IR=X"0000" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"21: nop"; wait for Tp; assert(t_P=X"00FB" and t_IR=X"0086" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"22: G0=#321"; wait for Tp; assert(t_P=X"00FC" and t_IR=X"0000" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"23: nop"; wait for Tp; assert(t_P=X"00FD" and t_IR=X"6D8A" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"24: Data(G2)=G0, G2++"; wait for Tp; assert(t_P=X"00FE" and t_IR=X"6D9A" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"25: Data(G2)=G1, G2++"; wait for Tp; assert(t_P=X"00FF" and t_IR=X"8005" and t_W=X"0800" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"26: Call A"; wait for Tp; assert(t_P=X"000A" and t_IR=X"0000" and t_W=X"0800" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"27: nop"; wait for Tp; assert(t_P=X"000B" and t_IR=X"06E6" and t_W=X"0800" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"28: Imm n=#101, Start read: G6=dmem(n)"; wait for Tp; assert(t_P=X"000C" and t_IR=X"0AE2" and t_W=X"0800" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"29: memory read G6 dmem "; wait for Tp; assert(t_P=X"000D" and t_IR=X"51EE" and t_W=X"0800" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"2A: G6=W=G6+1"; wait for Tp; assert(t_P=X"000E" and t_IR=X"07E6" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"2B: Imm n=#101, Write: dmem(n)=G6)"; wait for Tp; assert(t_P=X"000F" and t_IR=X"0000" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"2C: nop"; wait for Tp; assert(t_P=X"0010" and t_IR=X"0409" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"2D: Return"; wait for Tp; assert(t_P=X"00FF" and t_IR=X"0000" and t_W=X"0124" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"2E: nop"; wait for Tp; assert(t_P=X"0100" and t_IR=X"8001" and t_W=X"0124" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"2F: Call 2"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0002" and t_IR=X"0000" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"30: nop"; wait for Tp; assert(t_P=X"0003" and t_IR=X"00F6" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"31: G7=#100"; wait for Tp; assert(t_P=X"0004" and t_IR=X"0000" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"32: nop"; wait for Tp; assert(t_P=X"0005" and t_IR=X"660F" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"33: x=Data(G7)"; wait for Tp; assert(t_P=X"0006" and t_IR=X"64EF" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"34: G6=x, x=Data(G7)"; wait for Tp; assert(t_P=X"0007" and t_IR=X"51EE" and t_W=X"0124" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"35: G6=W=G6+1"; wait for Tp; assert(t_P=X"0008" and t_IR=X"0009" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"36: Return, without flush "; wait for Tp; assert(t_P=X"0100" and t_IR=X"6CEF" and t_W=X"0322" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"37: Data(G7)=G6"; wait for Tp; assert(t_P=X"0101" and t_IR=X"0E0E" and t_W=X"0322" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"38: Imm n=#100, Push n to return stack, Start read: R0=dmem(n)"; wait for Tp; assert(t_P=X"0102" and t_IR=X"0A0A" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"39: memory read R0 dmem "; wait for Tp; assert(t_P=X"0103" and t_IR=X"3C00" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"3A: Pop S0 from return stack"; wait for Tp; assert(t_P=X"0104" and t_IR=X"0C0E" and t_W=X"0322" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"3B: Imm n=#2, Push n to return stack"; wait for Tp; assert(t_P=X"0105" and t_IR=X"0000" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"3C: nop"; wait for Tp; assert(t_P=X"0106" and t_IR=X"040F" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"3D: Swap P,R0"; wait for Tp; assert(t_P=X"0002" and t_IR=X"0000" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"3E: nop"; wait for Tp; assert(t_P=X"0003" and t_IR=X"00F6" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"3F: G7=#100"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0004" and t_IR=X"0000" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"40: nop"; wait for Tp; assert(t_P=X"0005" and t_IR=X"660F" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"41: x=Data(G7)"; wait for Tp; assert(t_P=X"0006" and t_IR=X"64EF" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"42: G6=x, x=Data(G7)"; wait for Tp; assert(t_P=X"0007" and t_IR=X"51EE" and t_W=X"0322" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"43: G6=W=G6+1"; wait for Tp; assert(t_P=X"0008" and t_IR=X"0009" and t_W=X"0323" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"44: Return, without flush "; wait for Tp; assert(t_P=X"0106" and t_IR=X"6CEF" and t_W=X"0323" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"45: Data(G7)=G6"; wait for Tp; assert(t_P=X"0107" and t_IR=X"0C06" and t_W=X"0323" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"46: Imm n=#12, Push n to data stack"; wait for Tp; assert(t_P=X"0108" and t_IR=X"0000" and t_W=X"0323" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"47: nop"; wait for Tp; assert(t_P=X"0109" and t_IR=X"0020" and t_W=X"0323" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"48: nop, DROP"; wait for Tp; assert(t_P=X"010A" and t_IR=X"0016" and t_W=X"0323" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"49: S1=#2"; wait for Tp; assert(t_P=X"010B" and t_IR=X"0000" and t_W=X"0323" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"4A: nop"; wait for Tp; assert(t_P=X"010C" and t_IR=X"0026" and t_W=X"0323" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"4B: S2=#3"; wait for Tp; assert(t_P=X"010D" and t_IR=X"0000" and t_W=X"0323" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"4C: nop"; wait for Tp; assert(t_P=X"010E" and t_IR=X"8066" and t_W=X"0323" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"4D: Call CC"; wait for Tp; assert(t_P=X"00CC" and t_IR=X"0000" and t_W=X"0323" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"4E: nop"; wait for Tp; assert(t_P=X"00CD" and t_IR=X"4112" and t_W=X"0323" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"4F: W=S1+(-S2), latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00CE" and t_IR=X"0633" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"50: S3=-1 when W=0 else 0"; wait for Tp; assert(t_P=X"00CF" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"51: W=S3"; wait for Tp; assert(t_P=X"00D0" and t_IR=X"0733" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"52: S3=-1 when W<>0 else 0"; wait for Tp; assert(t_P=X"00D1" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"53: W=S3"; wait for Tp; assert(t_P=X"00D2" and t_IR=X"0233" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"54: S3=-1 when W=0 or C=1 (u<=) else 0"; wait for Tp; assert(t_P=X"00D3" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"55: W=S3"; wait for Tp; assert(t_P=X"00D4" and t_IR=X"0A33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"56: S3=-1 when W<0 else 0"; wait for Tp; assert(t_P=X"00D5" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"57: W=S3"; wait for Tp; assert(t_P=X"00D6" and t_IR=X"0E33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"58: S3=-1 when W<=0 xor V=1 (<=) else 0"; wait for Tp; assert(t_P=X"00D7" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"59: W=S3"; wait for Tp; assert(t_P=X"00D8" and t_IR=X"0C33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"5A: S3=-1 when W<0 xor V=1 (<) else 0"; wait for Tp; assert(t_P=X"00D9" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"5B: W=S3"; wait for Tp; assert(t_P=X"00DA" and t_IR=X"0833" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"5C: S3=-1 when V=1 else 0"; wait for Tp; assert(t_P=X"00DB" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"5D: W=S3"; wait for Tp; assert(t_P=X"00DC" and t_IR=X"0533" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"5E: S3=-1 when C=0 (u<) else 0"; wait for Tp; assert(t_P=X"00DD" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"5F: W=S3"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00DE" and t_IR=X"0409" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"60: Return"; wait for Tp; assert(t_P=X"010E" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"61: nop"; wait for Tp; assert(t_P=X"010F" and t_IR=X"0016" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"62: S1=#3"; wait for Tp; assert(t_P=X"0110" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"63: nop"; wait for Tp; assert(t_P=X"0111" and t_IR=X"0026" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"64: S2=#3"; wait for Tp; assert(t_P=X"0112" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"65: nop"; wait for Tp; assert(t_P=X"0113" and t_IR=X"8066" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"66: Call CC"; wait for Tp; assert(t_P=X"00CC" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"67: nop"; wait for Tp; assert(t_P=X"00CD" and t_IR=X"4112" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"68: W=S1+(-S2), latch CF"; wait for Tp; assert(t_P=X"00CE" and t_IR=X"0633" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"69: S3=-1 when W=0 else 0"; wait for Tp; assert(t_P=X"00CF" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"6A: W=S3"; wait for Tp; assert(t_P=X"00D0" and t_IR=X"0733" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"6B: S3=-1 when W<>0 else 0"; wait for Tp; assert(t_P=X"00D1" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"6C: W=S3"; wait for Tp; assert(t_P=X"00D2" and t_IR=X"0233" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"6D: S3=-1 when W=0 or C=1 (u<=) else 0"; wait for Tp; assert(t_P=X"00D3" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"6E: W=S3"; wait for Tp; assert(t_P=X"00D4" and t_IR=X"0A33" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"6F: S3=-1 when W<0 else 0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00D5" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"70: W=S3"; wait for Tp; assert(t_P=X"00D6" and t_IR=X"0E33" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"71: S3=-1 when W<=0 xor V=1 (<=) else 0"; wait for Tp; assert(t_P=X"00D7" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"72: W=S3"; wait for Tp; assert(t_P=X"00D8" and t_IR=X"0C33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"73: S3=-1 when W<0 xor V=1 (<) else 0"; wait for Tp; assert(t_P=X"00D9" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"74: W=S3"; wait for Tp; assert(t_P=X"00DA" and t_IR=X"0833" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"75: S3=-1 when V=1 else 0"; wait for Tp; assert(t_P=X"00DB" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"76: W=S3"; wait for Tp; assert(t_P=X"00DC" and t_IR=X"0533" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"77: S3=-1 when C=0 (u<) else 0"; wait for Tp; assert(t_P=X"00DD" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"78: W=S3"; wait for Tp; assert(t_P=X"00DE" and t_IR=X"0409" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"79: Return"; wait for Tp; assert(t_P=X"0113" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"7A: nop"; wait for Tp; assert(t_P=X"0114" and t_IR=X"0016" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"7B: S1=#4"; wait for Tp; assert(t_P=X"0115" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"7C: nop"; wait for Tp; assert(t_P=X"0116" and t_IR=X"0026" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"7D: S2=#3"; wait for Tp; assert(t_P=X"0117" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"7E: nop"; wait for Tp; assert(t_P=X"0118" and t_IR=X"8066" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"7F: Call CC"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00CC" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"80: nop"; wait for Tp; assert(t_P=X"00CD" and t_IR=X"4112" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"81: W=S1+(-S2), latch CF"; wait for Tp; assert(t_P=X"00CE" and t_IR=X"0633" and t_W=X"0001" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"82: S3=-1 when W=0 else 0"; wait for Tp; assert(t_P=X"00CF" and t_IR=X"4403" and t_W=X"0001" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"83: W=S3"; wait for Tp; assert(t_P=X"00D0" and t_IR=X"0733" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"84: S3=-1 when W<>0 else 0"; wait for Tp; assert(t_P=X"00D1" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"85: W=S3"; wait for Tp; assert(t_P=X"00D2" and t_IR=X"0233" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"86: S3=-1 when W=0 or C=1 (u<=) else 0"; wait for Tp; assert(t_P=X"00D3" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"87: W=S3"; wait for Tp; assert(t_P=X"00D4" and t_IR=X"0A33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"88: S3=-1 when W<0 else 0"; wait for Tp; assert(t_P=X"00D5" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"89: W=S3"; wait for Tp; assert(t_P=X"00D6" and t_IR=X"0E33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"8A: S3=-1 when W<=0 xor V=1 (<=) else 0"; wait for Tp; assert(t_P=X"00D7" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"8B: W=S3"; wait for Tp; assert(t_P=X"00D8" and t_IR=X"0C33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"8C: S3=-1 when W<0 xor V=1 (<) else 0"; wait for Tp; assert(t_P=X"00D9" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"8D: W=S3"; wait for Tp; assert(t_P=X"00DA" and t_IR=X"0833" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"8E: S3=-1 when V=1 else 0"; wait for Tp; assert(t_P=X"00DB" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"8F: W=S3"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00DC" and t_IR=X"0533" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"90: S3=-1 when C=0 (u<) else 0"; wait for Tp; assert(t_P=X"00DD" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"91: W=S3"; wait for Tp; assert(t_P=X"00DE" and t_IR=X"0409" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"92: Return"; wait for Tp; assert(t_P=X"0002" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"93: nop"; wait for Tp; assert(t_P=X"0003" and t_IR=X"00F6" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"94: G7=#100"; wait for Tp; assert(t_P=X"0004" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"95: nop"; wait for Tp; assert(t_P=X"0005" and t_IR=X"660F" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"96: x=Data(G7)"; wait for Tp; assert(t_P=X"0006" and t_IR=X"64EF" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"97: G6=x, x=Data(G7)"; wait for Tp; assert(t_P=X"0007" and t_IR=X"51EE" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"98: G6=W=G6+1"; wait for Tp; assert(t_P=X"0008" and t_IR=X"0009" and t_W=X"0324" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"99: Return, without flush "; wait for Tp; assert(t_P=X"0118" and t_IR=X"6CEF" and t_W=X"0324" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"9A: Data(G7)=G6"; wait for Tp; assert(t_P=X"0119" and t_IR=X"0016" and t_W=X"0324" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"9B: S1=#8001"; wait for Tp; assert(t_P=X"011A" and t_IR=X"0000" and t_W=X"0324" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"9C: nop"; wait for Tp; assert(t_P=X"011B" and t_IR=X"0026" and t_W=X"0324" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"9D: S2=#8002"; wait for Tp; assert(t_P=X"011C" and t_IR=X"0000" and t_W=X"0324" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"9E: nop"; wait for Tp; assert(t_P=X"011D" and t_IR=X"8066" and t_W=X"0324" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"9F: Call CC"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00CC" and t_IR=X"0000" and t_W=X"0324" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"A0: nop"; wait for Tp; assert(t_P=X"00CD" and t_IR=X"4112" and t_W=X"0324" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"A1: W=S1+(-S2), latch CF"; wait for Tp; assert(t_P=X"00CE" and t_IR=X"0633" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"A2: S3=-1 when W=0 else 0"; wait for Tp; assert(t_P=X"00CF" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"A3: W=S3"; wait for Tp; assert(t_P=X"00D0" and t_IR=X"0733" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"A4: S3=-1 when W<>0 else 0"; wait for Tp; assert(t_P=X"00D1" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"A5: W=S3"; wait for Tp; assert(t_P=X"00D2" and t_IR=X"0233" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"A6: S3=-1 when W=0 or C=1 (u<=) else 0"; wait for Tp; assert(t_P=X"00D3" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"A7: W=S3"; wait for Tp; assert(t_P=X"00D4" and t_IR=X"0A33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"A8: S3=-1 when W<0 else 0"; wait for Tp; assert(t_P=X"00D5" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"A9: W=S3"; wait for Tp; assert(t_P=X"00D6" and t_IR=X"0E33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"AA: S3=-1 when W<=0 xor V=1 (<=) else 0"; wait for Tp; assert(t_P=X"00D7" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"AB: W=S3"; wait for Tp; assert(t_P=X"00D8" and t_IR=X"0C33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"AC: S3=-1 when W<0 xor V=1 (<) else 0"; wait for Tp; assert(t_P=X"00D9" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"AD: W=S3"; wait for Tp; assert(t_P=X"00DA" and t_IR=X"0833" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"AE: S3=-1 when V=1 else 0"; wait for Tp; assert(t_P=X"00DB" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"AF: W=S3"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00DC" and t_IR=X"0533" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"B0: S3=-1 when C=0 (u<) else 0"; wait for Tp; assert(t_P=X"00DD" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"B1: W=S3"; wait for Tp; assert(t_P=X"00DE" and t_IR=X"0409" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"B2: Return"; wait for Tp; assert(t_P=X"011D" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"B3: nop"; wait for Tp; assert(t_P=X"011E" and t_IR=X"0016" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"B4: S1=#FFFE"; wait for Tp; assert(t_P=X"011F" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"B5: nop"; wait for Tp; assert(t_P=X"0120" and t_IR=X"0026" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"B6: S2=#FFFD"; wait for Tp; assert(t_P=X"0121" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"B7: nop"; wait for Tp; assert(t_P=X"0122" and t_IR=X"8066" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"B8: Call CC"; wait for Tp; assert(t_P=X"00CC" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"B9: nop"; wait for Tp; assert(t_P=X"00CD" and t_IR=X"4112" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"BA: W=S1+(-S2), latch CF"; wait for Tp; assert(t_P=X"00CE" and t_IR=X"0633" and t_W=X"0001" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"BB: S3=-1 when W=0 else 0"; wait for Tp; assert(t_P=X"00CF" and t_IR=X"4403" and t_W=X"0001" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"BC: W=S3"; wait for Tp; assert(t_P=X"00D0" and t_IR=X"0733" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"BD: S3=-1 when W<>0 else 0"; wait for Tp; assert(t_P=X"00D1" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"BE: W=S3"; wait for Tp; assert(t_P=X"00D2" and t_IR=X"0233" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"BF: S3=-1 when W=0 or C=1 (u<=) else 0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00D3" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C0: W=S3"; wait for Tp; assert(t_P=X"00D4" and t_IR=X"0A33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C1: S3=-1 when W<0 else 0"; wait for Tp; assert(t_P=X"00D5" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C2: W=S3"; wait for Tp; assert(t_P=X"00D6" and t_IR=X"0E33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C3: S3=-1 when W<=0 xor V=1 (<=) else 0"; wait for Tp; assert(t_P=X"00D7" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C4: W=S3"; wait for Tp; assert(t_P=X"00D8" and t_IR=X"0C33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C5: S3=-1 when W<0 xor V=1 (<) else 0"; wait for Tp; assert(t_P=X"00D9" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C6: W=S3"; wait for Tp; assert(t_P=X"00DA" and t_IR=X"0833" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C7: S3=-1 when V=1 else 0"; wait for Tp; assert(t_P=X"00DB" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C8: W=S3"; wait for Tp; assert(t_P=X"00DC" and t_IR=X"0533" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"C9: S3=-1 when C=0 (u<) else 0"; wait for Tp; assert(t_P=X"00DD" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"CA: W=S3"; wait for Tp; assert(t_P=X"00DE" and t_IR=X"0409" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"CB: Return"; wait for Tp; assert(t_P=X"0122" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"CC: nop"; wait for Tp; assert(t_P=X"0123" and t_IR=X"0016" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"CD: S1=#FFFF"; wait for Tp; assert(t_P=X"0124" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"CE: nop"; wait for Tp; assert(t_P=X"0125" and t_IR=X"0026" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"CF: S2=#FFFF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0126" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"D0: nop"; wait for Tp; assert(t_P=X"0127" and t_IR=X"8066" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"D1: Call CC"; wait for Tp; assert(t_P=X"00CC" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"D2: nop"; wait for Tp; assert(t_P=X"00CD" and t_IR=X"4112" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"D3: W=S1+(-S2), latch CF"; wait for Tp; assert(t_P=X"00CE" and t_IR=X"0633" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"D4: S3=-1 when W=0 else 0"; wait for Tp; assert(t_P=X"00CF" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"D5: W=S3"; wait for Tp; assert(t_P=X"00D0" and t_IR=X"0733" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"D6: S3=-1 when W<>0 else 0"; wait for Tp; assert(t_P=X"00D1" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"D7: W=S3"; wait for Tp; assert(t_P=X"00D2" and t_IR=X"0233" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"D8: S3=-1 when W=0 or C=1 (u<=) else 0"; wait for Tp; assert(t_P=X"00D3" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"D9: W=S3"; wait for Tp; assert(t_P=X"00D4" and t_IR=X"0A33" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"DA: S3=-1 when W<0 else 0"; wait for Tp; assert(t_P=X"00D5" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"DB: W=S3"; wait for Tp; assert(t_P=X"00D6" and t_IR=X"0E33" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"DC: S3=-1 when W<=0 xor V=1 (<=) else 0"; wait for Tp; assert(t_P=X"00D7" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"DD: W=S3"; wait for Tp; assert(t_P=X"00D8" and t_IR=X"0C33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"DE: S3=-1 when W<0 xor V=1 (<) else 0"; wait for Tp; assert(t_P=X"00D9" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"DF: W=S3"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00DA" and t_IR=X"0833" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"E0: S3=-1 when V=1 else 0"; wait for Tp; assert(t_P=X"00DB" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"E1: W=S3"; wait for Tp; assert(t_P=X"00DC" and t_IR=X"0533" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"E2: S3=-1 when C=0 (u<) else 0"; wait for Tp; assert(t_P=X"00DD" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"E3: W=S3"; wait for Tp; assert(t_P=X"00DE" and t_IR=X"0409" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"E4: Return"; wait for Tp; assert(t_P=X"0127" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"E5: nop"; wait for Tp; assert(t_P=X"0128" and t_IR=X"0016" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"E6: S1=#FFFB"; wait for Tp; assert(t_P=X"0129" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"E7: nop"; wait for Tp; assert(t_P=X"012A" and t_IR=X"0026" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"E8: S2=#6"; wait for Tp; assert(t_P=X"012B" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"E9: nop"; wait for Tp; assert(t_P=X"012C" and t_IR=X"8066" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"EA: Call CC"; wait for Tp; assert(t_P=X"00CC" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"EB: nop"; wait for Tp; assert(t_P=X"00CD" and t_IR=X"4112" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"EC: W=S1+(-S2), latch CF"; wait for Tp; assert(t_P=X"00CE" and t_IR=X"0633" and t_W=X"FFF5" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"ED: S3=-1 when W=0 else 0"; wait for Tp; assert(t_P=X"00CF" and t_IR=X"4403" and t_W=X"FFF5" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"EE: W=S3"; wait for Tp; assert(t_P=X"00D0" and t_IR=X"0733" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"EF: S3=-1 when W<>0 else 0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00D1" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F0: W=S3"; wait for Tp; assert(t_P=X"00D2" and t_IR=X"0233" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F1: S3=-1 when W=0 or C=1 (u<=) else 0"; wait for Tp; assert(t_P=X"00D3" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F2: W=S3"; wait for Tp; assert(t_P=X"00D4" and t_IR=X"0A33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F3: S3=-1 when W<0 else 0"; wait for Tp; assert(t_P=X"00D5" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F4: W=S3"; wait for Tp; assert(t_P=X"00D6" and t_IR=X"0E33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F5: S3=-1 when W<=0 xor V=1 (<=) else 0"; wait for Tp; assert(t_P=X"00D7" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F6: W=S3"; wait for Tp; assert(t_P=X"00D8" and t_IR=X"0C33" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F7: S3=-1 when W<0 xor V=1 (<) else 0"; wait for Tp; assert(t_P=X"00D9" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F8: W=S3"; wait for Tp; assert(t_P=X"00DA" and t_IR=X"0833" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"F9: S3=-1 when V=1 else 0"; wait for Tp; assert(t_P=X"00DB" and t_IR=X"4403" and t_W=X"FFFF" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"FA: W=S3"; wait for Tp; assert(t_P=X"00DC" and t_IR=X"0533" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"FB: S3=-1 when C=0 (u<) else 0"; wait for Tp; assert(t_P=X"00DD" and t_IR=X"4403" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"FC: W=S3"; wait for Tp; assert(t_P=X"00DE" and t_IR=X"0409" and t_W=X"0000" and t_SP=X"40" and t_RP=X"7F" and t_cv="10") report"FD: Return"; wait for Tp; assert(t_P=X"012C" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"FE: nop"; wait for Tp; assert(t_P=X"012D" and t_IR=X"0C06" and t_W=X"0000" and t_SP=X"40" and t_RP=X"80" and t_cv="10") report"FF: Imm n=#678, Push n to data stack"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"012E" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"100: nop"; wait for Tp; assert(t_P=X"012F" and t_IR=X"0225" and t_W=X"0000" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"101: S2=SP"; wait for Tp; assert(t_P=X"0130" and t_IR=X"022D" and t_W=X"0000" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"102: R2=RP"; wait for Tp; assert(t_P=X"0131" and t_IR=X"3423" and t_W=X"0000" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"103: S3=R2"; wait for Tp; assert(t_P=X"0132" and t_IR=X"4223" and t_W=X"0000" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"104: W=S2+S3, latch CF"; wait for Tp; assert(t_P=X"0133" and t_IR=X"0006" and t_W=X"00BF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"105: S0=#123"; wait for Tp; assert(t_P=X"0134" and t_IR=X"0000" and t_W=X"00BF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"106: nop"; wait for Tp; assert(t_P=X"0135" and t_IR=X"0016" and t_W=X"00BF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"107: S1=#321"; wait for Tp; assert(t_P=X"0136" and t_IR=X"0000" and t_W=X"00BF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"108: nop"; wait for Tp; assert(t_P=X"0137" and t_IR=X"4A01" and t_W=X"00BF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"109: S0=W=S0+S1, latch CF"; wait for Tp; assert(t_P=X"0138" and t_IR=X"0026" and t_W=X"0444" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"10A: S2=#C000"; wait for Tp; assert(t_P=X"0139" and t_IR=X"0000" and t_W=X"0444" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"10B: nop"; wait for Tp; assert(t_P=X"013A" and t_IR=X"4220" and t_W=X"0444" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"10C: W=S2+S0, latch CF"; wait for Tp; assert(t_P=X"013B" and t_IR=X"05C3" and t_W=X"C444" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"10D: G4=-1 when C=0 (u<) else 0"; wait for Tp; assert(t_P=X"013C" and t_IR=X"04D3" and t_W=X"C444" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"10E: G5=-1 when C=1 (u>=) else 0"; wait for Tp; assert(t_P=X"013D" and t_IR=X"4A22" and t_W=X"C444" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"10F: S2=W=S2+S2, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"013E" and t_IR=X"40C0" and t_W=X"8000" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"110: W=G4+S0"; wait for Tp; assert(t_P=X"013F" and t_IR=X"40D0" and t_W=X"0443" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"111: W=G5+S0"; wait for Tp; assert(t_P=X"0140" and t_IR=X"0A83" and t_W=X"0444" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"112: G0=-1 when W<0 else 0"; wait for Tp; assert(t_P=X"0141" and t_IR=X"4310" and t_W=X"0444" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"113: W=S1+S0+CF, latch CF"; wait for Tp; assert(t_P=X"0142" and t_IR=X"0BFC" and t_W=X"0766" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"114: RP=RP-1"; wait for Tp; assert(t_P=X"0143" and t_IR=X"0814" and t_W=X"0766" and t_SP=X"3F" and t_RP=X"7F" and t_cv="00") report"115: SP=SP+1"; wait for Tp; assert(t_P=X"0144" and t_IR=X"4222" and t_W=X"0766" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"116: W=S2+S2, latch CF"; wait for Tp; assert(t_P=X"0145" and t_IR=X"081C" and t_W=X"0100" and t_SP=X"40" and t_RP=X"7F" and t_cv="00") report"117: RP=RP+1"; wait for Tp; assert(t_P=X"0146" and t_IR=X"0BF4" and t_W=X"0100" and t_SP=X"40" and t_RP=X"80" and t_cv="00") report"118: SP=SP-1"; wait for Tp; assert(t_P=X"0147" and t_IR=X"0086" and t_W=X"0100" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"119: G0=#FF00"; wait for Tp; assert(t_P=X"0148" and t_IR=X"0000" and t_W=X"0100" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"11A: nop"; wait for Tp; assert(t_P=X"0149" and t_IR=X"0096" and t_W=X"0100" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"11B: G1=#F0F0"; wait for Tp; assert(t_P=X"014A" and t_IR=X"0000" and t_W=X"0100" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"11C: nop"; wait for Tp; assert(t_P=X"014B" and t_IR=X"4589" and t_W=X"0100" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"11D: W=G0 AND G1"; wait for Tp; assert(t_P=X"014C" and t_IR=X"4689" and t_W=X"F000" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"11E: W=G0 OR G1"; wait for Tp; assert(t_P=X"014D" and t_IR=X"4F89" and t_W=X"FFF0" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"11F: G0=W=G0 XOR G1"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"014E" and t_IR=X"5F98" and t_W=X"0FF0" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"120: G1=W=G0/2, latch CF"; wait for Tp; assert(t_P=X"014F" and t_IR=X"5F99" and t_W=X"07F8" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"121: G1=W=G1/2, latch CF"; wait for Tp; assert(t_P=X"0150" and t_IR=X"0A00" and t_W=X"03FC" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"122: Skip when W<0"; wait for Tp; assert(t_P=X"0151" and t_IR=X"5F99" and t_W=X"03FC" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"123: G1=W=G1/2, latch CF"; wait for Tp; assert(t_P=X"0152" and t_IR=X"0B00" and t_W=X"01FE" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"124: Skip when W=>0"; wait for Tp; assert(t_P=X"0153" and t_IR=X"0000" and t_W=X"01FE" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"125: nop"; wait for Tp; assert(t_P=X"0154" and t_IR=X"0096" and t_W=X"01FE" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"126: G1=#8000"; wait for Tp; assert(t_P=X"0155" and t_IR=X"0000" and t_W=X"01FE" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"127: nop"; wait for Tp; assert(t_P=X"0156" and t_IR=X"5F99" and t_W=X"01FE" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"128: G1=W=G1/2, latch CF"; wait for Tp; assert(t_P=X"0157" and t_IR=X"5F99" and t_W=X"C000" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"129: G1=W=G1/2, latch CF"; wait for Tp; assert(t_P=X"0158" and t_IR=X"0066" and t_W=X"E000" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"12A: S6=#1234"; wait for Tp; assert(t_P=X"0159" and t_IR=X"0000" and t_W=X"E000" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"12B: nop"; wait for Tp; assert(t_P=X"015A" and t_IR=X"0076" and t_W=X"E000" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"12C: S7=#5678"; wait for Tp; assert(t_P=X"015B" and t_IR=X"0000" and t_W=X"E000" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"12D: nop"; wait for Tp; assert(t_P=X"015C" and t_IR=X"5A76" and t_W=X"E000" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"12E: S7=W=S6*2 + W[n], latch CF"; wait for Tp; assert(t_P=X"015D" and t_IR=X"5E76" and t_W=X"2469" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"12F: S7=W=W[n],S6>>1, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"015E" and t_IR=X"53EF" and t_W=X"091A" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"130: G6=W=G7-1"; wait for Tp; assert(t_P=X"015F" and t_IR=X"51FE" and t_W=X"00FF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"131: G7=W=G6+1"; wait for Tp; assert(t_P=X"0160" and t_IR=X"52EF" and t_W=X"0100" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"132: G6=W=G7+2"; wait for Tp; assert(t_P=X"0161" and t_IR=X"00F6" and t_W=X"0102" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"133: G7=#100"; wait for Tp; assert(t_P=X"0162" and t_IR=X"0000" and t_W=X"0102" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"134: nop"; wait for Tp; assert(t_P=X"0163" and t_IR=X"660F" and t_W=X"0102" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"135: x=Data(G7)"; wait for Tp; assert(t_P=X"0164" and t_IR=X"650F" and t_W=X"0102" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"136: S0=x, x=Data(G7), G7++"; wait for Tp; assert(t_P=X"0165" and t_IR=X"641F" and t_W=X"0102" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"137: S1=x, x=Data(G7)"; wait for Tp; assert(t_P=X"0166" and t_IR=X"4901" and t_W=X"0102" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"138: S0=W=S0+(-S1), latch CF"; wait for Tp; assert(t_P=X"0167" and t_IR=X"41F0" and t_W=X"0000" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"139: W=G7+(-S0), latch CF"; wait for Tp; assert(t_P=X"0168" and t_IR=X"610F" and t_W=X"0101" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"13A: S0=Prog(G7), G7++"; wait for Tp; assert(t_P=X"0168" and t_IR=X"0602" and t_W=X"0101" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"13B: memory read S0, stall"; wait for Tp; assert(t_P=X"0168" and t_IR=X"0000" and t_W=X"0101" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"13C: nop"; wait for Tp; assert(t_P=X"0169" and t_IR=X"601F" and t_W=X"0101" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"13D: S1=Prog(G7)"; wait for Tp; assert(t_P=X"0169" and t_IR=X"0612" and t_W=X"0101" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"13E: memory read S1, stall"; wait for Tp; assert(t_P=X"0169" and t_IR=X"0000" and t_W=X"0101" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"13F: nop"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"016A" and t_IR=X"5820" and t_W=X"0101" and t_SP=X"3F" and t_RP=X"80" and t_cv="10") report"140: S2=W=S0*2, latch CF"; wait for Tp; assert(t_P=X"016B" and t_IR=X"5C21" and t_W=X"0200" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"141: S2=W=S1>>1, latch CF"; wait for Tp; assert(t_P=X"016C" and t_IR=X"0066" and t_W=X"1E00" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"142: S6=#1234"; wait for Tp; assert(t_P=X"016D" and t_IR=X"0000" and t_W=X"1E00" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"143: nop"; wait for Tp; assert(t_P=X"016E" and t_IR=X"0076" and t_W=X"1E00" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"144: S7=#5678"; wait for Tp; assert(t_P=X"016F" and t_IR=X"0000" and t_W=X"1E00" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"145: nop"; wait for Tp; assert(t_P=X"0170" and t_IR=X"7276" and t_W=X"1E00" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"146: S7=S7 AND S6"; wait for Tp; assert(t_P=X"0171" and t_IR=X"0076" and t_W=X"1230" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"147: S7=#5678"; wait for Tp; assert(t_P=X"0172" and t_IR=X"0000" and t_W=X"1230" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"148: nop"; wait for Tp; assert(t_P=X"0173" and t_IR=X"7376" and t_W=X"1230" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"149: S7=ByteSwap(S7) AND S6"; wait for Tp; assert(t_P=X"0174" and t_IR=X"090E" and t_W=X"1014" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"14A: R10=#98"; wait for Tp; assert(t_P=X"0175" and t_IR=X"0000" and t_W=X"1014" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"14B: nop"; wait for Tp; assert(t_P=X"0176" and t_IR=X"0906" and t_W=X"1014" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"14C: S10=#73"; wait for Tp; assert(t_P=X"0177" and t_IR=X"0000" and t_W=X"1014" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"14D: nop"; wait for Tp; assert(t_P=X"0178" and t_IR=X"3090" and t_W=X"1014" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"14E: R9=S0"; wait for Tp; assert(t_P=X"0179" and t_IR=X"3501" and t_W=X"1014" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"14F: S1=R10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"017A" and t_IR=X"3492" and t_W=X"1014" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"150: S2=R9"; wait for Tp; assert(t_P=X"017B" and t_IR=X"4212" and t_W=X"1014" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"151: W=S1+S2, latch CF"; wait for Tp; assert(t_P=X"017C" and t_IR=X"5531" and t_W=X"0198" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"152: S3=W=-S1"; wait for Tp; assert(t_P=X"017D" and t_IR=X"0000" and t_W=X"FF68" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"153: nop"; wait for Tp; assert(t_P=X"017E" and t_IR=X"5443" and t_W=X"FF68" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"154: S4=W=not(S3)"; wait for Tp; assert(t_P=X"017F" and t_IR=X"4312" and t_W=X"0097" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"155: W=S1+S2+CF, latch CF"; wait for Tp; assert(t_P=X"0180" and t_IR=X"4334" and t_W=X"0198" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"156: W=S3+S4+CF, latch CF"; wait for Tp; assert(t_P=X"0181" and t_IR=X"0C0E" and t_W=X"FFFF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"157: Imm n=#3333, Push n to return stack"; wait for Tp; assert(t_P=X"0182" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"3F" and t_RP=X"7F" and t_cv="00") report"158: nop"; wait for Tp; assert(t_P=X"0183" and t_IR=X"3C00" and t_W=X"FFFF" and t_SP=X"3F" and t_RP=X"7F" and t_cv="00") report"159: Pop S0 from return stack"; wait for Tp; assert(t_P=X"0184" and t_IR=X"0086" and t_W=X"FFFF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"15A: G0=#100"; wait for Tp; assert(t_P=X"0185" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"15B: nop"; wait for Tp; assert(t_P=X"0186" and t_IR=X"6608" and t_W=X"FFFF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"15C: x=Data(G0)"; wait for Tp; assert(t_P=X"0187" and t_IR=X"6208" and t_W=X"FFFF" and t_SP=X"3F" and t_RP=X"80" and t_cv="00") report"15D: Push Prog(G0)"; wait for Tp; assert(t_P=X"0187" and t_IR=X"0602" and t_W=X"FFFF" and t_SP=X"3E" and t_RP=X"80" and t_cv="00") report"15E: memory read S0, stall"; wait for Tp; assert(t_P=X"0187" and t_IR=X"0000" and t_W=X"FFFF" and t_SP=X"3E" and t_RP=X"80" and t_cv="00") report"15F: nop"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0188" and t_IR=X"42EF" and t_W=X"FFFF" and t_SP=X"3E" and t_RP=X"80" and t_cv="00") report"160: W=G6+G7, latch CF"; wait for Tp; assert(t_P=X"0189" and t_IR=X"5388" and t_W=X"0204" and t_SP=X"3E" and t_RP=X"80" and t_cv="00") report"161: G0=W=G0-1"; wait for Tp; assert(t_P=X"018A" and t_IR=X"3809" and t_W=X"00FF" and t_SP=X"3E" and t_RP=X"80" and t_cv="00") report"162: Push G1 to return stack"; wait for Tp; assert(t_P=X"018B" and t_IR=X"3800" and t_W=X"00FF" and t_SP=X"3E" and t_RP=X"7F" and t_cv="00") report"163: Push S0 to return stack"; wait for Tp; assert(t_P=X"018C" and t_IR=X"3808" and t_W=X"00FF" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"164: Push G0 to return stack"; wait for Tp; assert(t_P=X"018D" and t_IR=X"3C04" and t_W=X"00FF" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"165: Pop S4 from return stack"; wait for Tp; assert(t_P=X"018E" and t_IR=X"4312" and t_W=X"00FF" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"166: W=S1+S2+CF, latch CF"; wait for Tp; assert(t_P=X"018F" and t_IR=X"4334" and t_W=X"33CB" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"167: W=S3+S4+CF, latch CF"; wait for Tp; assert(t_P=X"0190" and t_IR=X"4B01" and t_W=X"01FF" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"168: S0=W=S0+S1+CF, latch CF"; wait for Tp; assert(t_P=X"0191" and t_IR=X"0C0E" and t_W=X"4141" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"169: Imm n=#5555, Push n to return stack"; wait for Tp; assert(t_P=X"0192" and t_IR=X"0000" and t_W=X"4141" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"16A: nop"; wait for Tp; assert(t_P=X"0193" and t_IR=X"040D" and t_W=X"4141" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"16B: Push W"; wait for Tp; assert(t_P=X"0194" and t_IR=X"3C00" and t_W=X"4141" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"16C: Pop S0 from return stack"; wait for Tp; assert(t_P=X"0195" and t_IR=X"3C01" and t_W=X"4141" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"16D: Pop S1 from return stack"; wait for Tp; assert(t_P=X"0196" and t_IR=X"4201" and t_W=X"4141" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"16E: W=S0+S1, latch CF"; wait for Tp; assert(t_P=X"0197" and t_IR=X"5B01" and t_W=X"9696" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"16F: S0=W=rotate_left_S1, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0198" and t_IR=X"5920" and t_W=X"AAAA" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"170: S2=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0199" and t_IR=X"7712" and t_W=X"5554" and t_SP=X"3E" and t_RP=X"7E" and t_cv="10") report"171: S2=W=W[n]?(S1:0)^S2*2"; wait for Tp; assert(t_P=X"019A" and t_IR=X"5D10" and t_W=X"FFFD" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"172: S1=W=CF,S0>>1, latch CF"; wait for Tp; assert(t_P=X"019B" and t_IR=X"4201" and t_W=X"5555" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"173: W=S0+S1, latch CF"; wait for Tp; assert(t_P=X"019C" and t_IR=X"4221" and t_W=X"FFFF" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"174: W=S2+S1, latch CF"; wait for Tp; assert(t_P=X"019D" and t_IR=X"00F6" and t_W=X"5552" and t_SP=X"3E" and t_RP=X"7E" and t_cv="11") report"175: G7=#123"; wait for Tp; assert(t_P=X"019E" and t_IR=X"0000" and t_W=X"5552" and t_SP=X"3E" and t_RP=X"7E" and t_cv="11") report"176: nop"; wait for Tp; assert(t_P=X"019F" and t_IR=X"1F21" and t_W=X"5552" and t_SP=X"3E" and t_RP=X"7E" and t_cv="11") report"177: Branch: P=-DF"; wait for Tp; assert(t_P=X"00C0" and t_IR=X"0000" and t_W=X"5552" and t_SP=X"3E" and t_RP=X"7E" and t_cv="11") report"178: nop"; wait for Tp; assert(t_P=X"00C1" and t_IR=X"801B" and t_W=X"5552" and t_SP=X"3E" and t_RP=X"7E" and t_cv="11") report"179: Call 36"; wait for Tp; assert(t_P=X"0036" and t_IR=X"0000" and t_W=X"5552" and t_SP=X"3E" and t_RP=X"7D" and t_cv="11") report"17A: nop"; wait for Tp; assert(t_P=X"0037" and t_IR=X"4CF0" and t_W=X"5552" and t_SP=X"3E" and t_RP=X"7D" and t_cv="11") report"17B: G7=W=S0"; wait for Tp; assert(t_P=X"0038" and t_IR=X"0B00" and t_W=X"AAAA" and t_SP=X"3E" and t_RP=X"7D" and t_cv="11") report"17C: Skip when W=>0"; wait for Tp; assert(t_P=X"0039" and t_IR=X"5500" and t_W=X"AAAA" and t_SP=X"3E" and t_RP=X"7D" and t_cv="11") report"17D: S0=W=-S0"; wait for Tp; assert(t_P=X"003A" and t_IR=X"4CE1" and t_W=X"5556" and t_SP=X"3E" and t_RP=X"7D" and t_cv="11") report"17E: G6=W=S1"; wait for Tp; assert(t_P=X"003B" and t_IR=X"0B00" and t_W=X"5555" and t_SP=X"3E" and t_RP=X"7D" and t_cv="11") report"17F: Skip when W=>0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"003C" and t_IR=X"0000" and t_W=X"5555" and t_SP=X"3E" and t_RP=X"7D" and t_cv="11") report"180: nop"; wait for Tp; assert(t_P=X"003D" and t_IR=X"8017" and t_W=X"5555" and t_SP=X"3E" and t_RP=X"7D" and t_cv="11") report"181: Call 2E"; wait for Tp; assert(t_P=X"002E" and t_IR=X"0000" and t_W=X"5555" and t_SP=X"3E" and t_RP=X"7C" and t_cv="11") report"182: nop"; wait for Tp; assert(t_P=X"002F" and t_IR=X"7901" and t_W=X"5555" and t_SP=X"3E" and t_RP=X"7C" and t_cv="11") report"183: W=S1/2, clear CF"; wait for Tp; assert(t_P=X"0030" and t_IR=X"0013" and t_W=X"2AAA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"184: S1=0"; wait for Tp; assert(t_P=X"0031" and t_IR=X"710F" and t_W=X"2AAA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"185: REPeat next op 10 times."; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"2AAA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"186: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"5555" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"187: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"AAAA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"188: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"5554" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"189: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"AAA8" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"18A: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"5551" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"18B: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"AAA3" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"18C: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"5547" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"18D: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"AA8E" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"18E: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"551C" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"18F: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"AA38" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"190: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"5471" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"191: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"A8E3" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"192: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"51C7" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"193: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"A38E" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"194: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0032" and t_IR=X"7601" and t_W=X"471C" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"195: W=W*2:S1[n]+CF, S1=S1*2+W[n]?(S0:0)"; wait for Tp; assert(t_P=X"0033" and t_IR=X"7A01" and t_W=X"8E38" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"196: W=(W+CF)*2+S1[n], clear CF"; wait for Tp; assert(t_P=X"0034" and t_IR=X"0005" and t_W=X"1C71" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"197: S0=W"; wait for Tp; assert(t_P=X"0035" and t_IR=X"0409" and t_W=X"1C71" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"198: Return"; wait for Tp; assert(t_P=X"0002" and t_IR=X"0000" and t_W=X"1C71" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"199: nop"; wait for Tp; assert(t_P=X"0003" and t_IR=X"00F6" and t_W=X"1C71" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"19A: G7=#100"; wait for Tp; assert(t_P=X"0004" and t_IR=X"0000" and t_W=X"1C71" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"19B: nop"; wait for Tp; assert(t_P=X"0005" and t_IR=X"660F" and t_W=X"1C71" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"19C: x=Data(G7)"; wait for Tp; assert(t_P=X"0006" and t_IR=X"64EF" and t_W=X"1C71" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"19D: G6=x, x=Data(G7)"; wait for Tp; assert(t_P=X"0007" and t_IR=X"51EE" and t_W=X"1C71" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"19E: G6=W=G6+1"; wait for Tp; assert(t_P=X"0008" and t_IR=X"0009" and t_W=X"0325" and t_SP=X"3E" and t_RP=X"7C" and t_cv="01") report"19F: Return, without flush "; wait for Tp; -- P IR W SP RP cv assert(t_P=X"003D" and t_IR=X"6CEF" and t_W=X"0325" and t_SP=X"3E" and t_RP=X"7D" and t_cv="01") report"1A0: Data(G7)=G6"; wait for Tp; assert(t_P=X"003E" and t_IR=X"47EF" and t_W=X"0325" and t_SP=X"3E" and t_RP=X"7D" and t_cv="01") report"1A1: W=G6 XOR G7"; wait for Tp; assert(t_P=X"003F" and t_IR=X"0A00" and t_W=X"0225" and t_SP=X"3E" and t_RP=X"7D" and t_cv="01") report"1A2: Skip when W<0"; wait for Tp; assert(t_P=X"0040" and t_IR=X"0409" and t_W=X"0225" and t_SP=X"3E" and t_RP=X"7D" and t_cv="01") report"1A3: Return"; wait for Tp; assert(t_P=X"00C1" and t_IR=X"0000" and t_W=X"0225" and t_SP=X"3E" and t_RP=X"7E" and t_cv="01") report"1A4: nop"; wait for Tp; assert(t_P=X"00C2" and t_IR=X"0BF4" and t_W=X"0225" and t_SP=X"3E" and t_RP=X"7E" and t_cv="01") report"1A5: SP=SP-1"; wait for Tp; assert(t_P=X"00C3" and t_IR=X"0006" and t_W=X"0225" and t_SP=X"3D" and t_RP=X"7E" and t_cv="01") report"1A6: S0=#1234"; wait for Tp; assert(t_P=X"00C4" and t_IR=X"0000" and t_W=X"0225" and t_SP=X"3D" and t_RP=X"7E" and t_cv="01") report"1A7: nop"; wait for Tp; assert(t_P=X"00C5" and t_IR=X"8058" and t_W=X"0225" and t_SP=X"3D" and t_RP=X"7E" and t_cv="01") report"1A8: Call B0"; wait for Tp; assert(t_P=X"00B0" and t_IR=X"0000" and t_W=X"0225" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1A9: nop"; wait for Tp; assert(t_P=X"00B1" and t_IR=X"4CA0" and t_W=X"0225" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1AA: G2=W=S0"; wait for Tp; assert(t_P=X"00B2" and t_IR=X"0A00" and t_W=X"1234" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1AB: Skip when W<0"; wait for Tp; assert(t_P=X"00B3" and t_IR=X"1005" and t_W=X"1234" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1AC: Branch: P=5"; wait for Tp; assert(t_P=X"00B8" and t_IR=X"0000" and t_W=X"1234" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1AD: nop"; wait for Tp; assert(t_P=X"00B9" and t_IR=X"4501" and t_W=X"1234" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1AE: W=S0 AND S1"; wait for Tp; assert(t_P=X"00BA" and t_IR=X"0B00" and t_W=X"1030" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1AF: Skip when W=>0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00BB" and t_IR=X"0000" and t_W=X"1030" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1B0: nop"; wait for Tp; assert(t_P=X"00BC" and t_IR=X"8012" and t_W=X"1030" and t_SP=X"3D" and t_RP=X"7D" and t_cv="01") report"1B1: Call 24"; wait for Tp; assert(t_P=X"0024" and t_IR=X"0000" and t_W=X"1030" and t_SP=X"3D" and t_RP=X"7C" and t_cv="01") report"1B2: nop"; wait for Tp; assert(t_P=X"0025" and t_IR=X"5500" and t_W=X"1030" and t_SP=X"3D" and t_RP=X"7C" and t_cv="01") report"1B3: S0=W=-S0"; wait for Tp; assert(t_P=X"0026" and t_IR=X"4C82" and t_W=X"EDCC" and t_SP=X"3D" and t_RP=X"7C" and t_cv="01") report"1B4: G0=W=S2"; wait for Tp; assert(t_P=X"0027" and t_IR=X"4C21" and t_W=X"E38E" and t_SP=X"3D" and t_RP=X"7C" and t_cv="01") report"1B5: S2=W=S1"; wait for Tp; assert(t_P=X"0028" and t_IR=X"4488" and t_W=X"1C71" and t_SP=X"3D" and t_RP=X"7C" and t_cv="01") report"1B6: W=G0"; wait for Tp; assert(t_P=X"0029" and t_IR=X"8009" and t_W=X"E38E" and t_SP=X"3D" and t_RP=X"7C" and t_cv="01") report"1B7: Call 12"; wait for Tp; assert(t_P=X"0012" and t_IR=X"0000" and t_W=X"E38E" and t_SP=X"3D" and t_RP=X"7B" and t_cv="01") report"1B8: nop"; wait for Tp; assert(t_P=X"0013" and t_IR=X"7402" and t_W=X"E38E" and t_SP=X"3D" and t_RP=X"7B" and t_cv="01") report"1B9: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0014" and t_IR=X"7502" and t_W=X"C71C" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1BA: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"0015" and t_IR=X"7402" and t_W=X"C71C" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1BB: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0016" and t_IR=X"7502" and t_W=X"8E39" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1BC: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"0017" and t_IR=X"7402" and t_W=X"8E39" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1BD: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0018" and t_IR=X"7502" and t_W=X"1C73" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1BE: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"0019" and t_IR=X"7402" and t_W=X"1C73" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1BF: W:S2=W:S2*2+CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"001A" and t_IR=X"7502" and t_W=X"38E7" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1C0: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"001B" and t_IR=X"7402" and t_W=X"38E7" and t_SP=X"3D" and t_RP=X"7B" and t_cv="10") report"1C1: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"001C" and t_IR=X"7502" and t_W=X"71CF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1C2: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"001D" and t_IR=X"7402" and t_W=X"71CF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1C3: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"001E" and t_IR=X"7502" and t_W=X"E39F" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1C4: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"001F" and t_IR=X"7402" and t_W=X"E39F" and t_SP=X"3D" and t_RP=X"7B" and t_cv="10") report"1C5: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0020" and t_IR=X"7502" and t_W=X"C73F" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1C6: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"0021" and t_IR=X"7402" and t_W=X"C73F" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1C7: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0022" and t_IR=X"0009" and t_W=X"8E7F" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1C8: Return, without flush "; wait for Tp; assert(t_P=X"0029" and t_IR=X"7502" and t_W=X"8E7F" and t_SP=X"3D" and t_RP=X"7C" and t_cv="00") report"1C9: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"002A" and t_IR=X"8009" and t_W=X"8E7F" and t_SP=X"3D" and t_RP=X"7C" and t_cv="11") report"1CA: Call 12"; wait for Tp; assert(t_P=X"0012" and t_IR=X"0000" and t_W=X"8E7F" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1CB: nop"; wait for Tp; assert(t_P=X"0013" and t_IR=X"7402" and t_W=X"8E7F" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1CC: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0014" and t_IR=X"7502" and t_W=X"1CFF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1CD: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"0015" and t_IR=X"7402" and t_W=X"1CFF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="10") report"1CE: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0016" and t_IR=X"7502" and t_W=X"39FF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1CF: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0017" and t_IR=X"7402" and t_W=X"39FF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1D0: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0018" and t_IR=X"7502" and t_W=X"73FF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1D1: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"0019" and t_IR=X"7402" and t_W=X"73FF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1D2: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"001A" and t_IR=X"7502" and t_W=X"E7FF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1D3: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"001B" and t_IR=X"7402" and t_W=X"E7FF" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1D4: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"001C" and t_IR=X"7502" and t_W=X"CFFE" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1D5: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"001D" and t_IR=X"7402" and t_W=X"CFFE" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1D6: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"001E" and t_IR=X"7502" and t_W=X"9FFC" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1D7: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"001F" and t_IR=X"7402" and t_W=X"9FFC" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1D8: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0020" and t_IR=X"7502" and t_W=X"3FF8" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1D9: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"0021" and t_IR=X"7402" and t_W=X"3FF8" and t_SP=X"3D" and t_RP=X"7B" and t_cv="11") report"1DA: W:S2=W:S2*2+CF"; wait for Tp; assert(t_P=X"0022" and t_IR=X"0009" and t_W=X"7FF1" and t_SP=X"3D" and t_RP=X"7B" and t_cv="00") report"1DB: Return, without flush "; wait for Tp; assert(t_P=X"002A" and t_IR=X"7502" and t_W=X"7FF1" and t_SP=X"3D" and t_RP=X"7C" and t_cv="00") report"1DC: S2=S2+carry(S0+S2)?(S0:0)"; wait for Tp; assert(t_P=X"002B" and t_IR=X"7A02" and t_W=X"7FF1" and t_SP=X"3D" and t_RP=X"7C" and t_cv="00") report"1DD: W=(W+CF)*2+S2[n], clear CF"; wait for Tp; assert(t_P=X"002C" and t_IR=X"0015" and t_W=X"FFE2" and t_SP=X"3D" and t_RP=X"7C" and t_cv="00") report"1DE: S1=W"; wait for Tp; assert(t_P=X"002D" and t_IR=X"0009" and t_W=X"FFE2" and t_SP=X"3D" and t_RP=X"7C" and t_cv="00") report"1DF: Return, without flush "; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00BC" and t_IR=X"0814" and t_W=X"FFE2" and t_SP=X"3D" and t_RP=X"7D" and t_cv="00") report"1E0: SP=SP+1"; wait for Tp; assert(t_P=X"00BD" and t_IR=X"44AA" and t_W=X"FFE2" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1E1: W=G2"; wait for Tp; assert(t_P=X"00BE" and t_IR=X"0B00" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1E2: Skip when W=>0"; wait for Tp; assert(t_P=X"00BF" and t_IR=X"0000" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1E3: nop"; wait for Tp; assert(t_P=X"00C0" and t_IR=X"0409" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1E4: Return"; wait for Tp; assert(t_P=X"00C5" and t_IR=X"0000" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"1E5: nop"; wait for Tp; assert(t_P=X"00C6" and t_IR=X"804C" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"1E6: Call 98"; wait for Tp; assert(t_P=X"0098" and t_IR=X"0000" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1E7: nop"; wait for Tp; assert(t_P=X"0099" and t_IR=X"0083" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1E8: G0=0"; wait for Tp; assert(t_P=X"009A" and t_IR=X"0093" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1E9: G1=0"; wait for Tp; assert(t_P=X"009B" and t_IR=X"00A6" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1EA: G2=#10"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1EB: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1EC: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"1ED: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"1234" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"1EE: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0B4C" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"1EF: S0=W=S0*2 + CF, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"FFC4" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"1F0: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"FFC4" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"1F1: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1F2: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"1F3: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"1F4: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"1698" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"1F5: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"FF88" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"1F6: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"FF88" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"1F7: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"0003" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1F8: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1F9: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1FA: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"1FB: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"1FC: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"1FD: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"1FE: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"1FF: G0=W=G0+1"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"200: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"000F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"201: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"000F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"202: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"000F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"203: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"000F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"204: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"000F" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"205: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"000F" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"206: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"2D30" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"207: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"FF10" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"208: Return, without flush "; wait for Tp; assert(t_P=X"000A" and t_IR=X"5999" and t_W=X"FF10" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"209: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"000B" and t_IR=X"06E6" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"20A: Imm n=#101, Start read: G6=dmem(n)"; wait for Tp; assert(t_P=X"000C" and t_IR=X"0AE2" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"20B: memory read G6 dmem "; wait for Tp; assert(t_P=X"000D" and t_IR=X"51EE" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"20C: G6=W=G6+1"; wait for Tp; assert(t_P=X"000E" and t_IR=X"07E6" and t_W=X"0125" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"20D: Imm n=#101, Write: dmem(n)=G6)"; wait for Tp; assert(t_P=X"000F" and t_IR=X"0000" and t_W=X"0125" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"20E: nop"; wait for Tp; assert(t_P=X"0010" and t_IR=X"0409" and t_W=X"0125" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"20F: Return"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"009D" and t_IR=X"0000" and t_W=X"0125" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"210: nop"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"0125" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"211: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0125" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"212: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0125" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"213: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"5A60" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"214: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"FE20" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"215: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"FE20" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"216: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"000B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"217: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"218: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"0004" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"219: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"21A: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"21B: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"21C: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"21D: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"21E: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"0003" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"21F: G2=W=G2-1"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"220: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"221: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"222: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"223: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"224: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"225: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"B4C0" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"226: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"FC40" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"227: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"FC40" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"228: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"229: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"22A: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"22B: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"6980" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"22C: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"F881" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"22D: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"F881" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"22E: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"001B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"22F: G0=W=G0*2, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"230: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"000C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"231: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"232: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"233: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"234: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"235: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"236: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"0007" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"237: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"238: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"239: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"23A: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"23B: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"23C: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"000D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"23D: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"D300" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"23E: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"F102" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"23F: Return, without flush "; wait for Tp; -- P IR W SP RP cv assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"F102" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"240: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"001D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"241: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"001D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"242: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"001D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"243: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"A600" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"244: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"E205" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"245: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"E205" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"246: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"003B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"247: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"000E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"248: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"001C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"249: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"001D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"24A: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"001E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"24B: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"001E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"24C: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"001E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"24D: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"001E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"24E: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"000F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"24F: G2=W=G2-1"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"000C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"250: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"000C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"251: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"000C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"252: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"000C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"253: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"000C" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"254: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"000C" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"255: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"4C00" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"256: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"C40B" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"257: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"C40B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"258: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"003D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"259: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"003D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"25A: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"003D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"25B: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"9800" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"25C: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"8816" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"25D: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"8816" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"25E: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"007B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"25F: G0=W=G0*2, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"001E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"260: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"003C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"261: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"003D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"262: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"003E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"263: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"003E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"264: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"003E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"265: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"003E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"266: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"001F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"267: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"000B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"268: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"000B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"269: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"000B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"26A: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"000B" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"26B: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"000B" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"26C: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"000B" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"26D: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"3000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"26E: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"102D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"26F: Return, without flush "; wait for Tp; -- P IR W SP RP cv assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"102D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"270: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"007D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"271: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"007D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"272: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"007D" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"273: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"6000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"274: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"205A" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"275: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"205A" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"276: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"00FA" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"277: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"003E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"278: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"007C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"279: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"007D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"27A: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"007D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"27B: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"007D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"27C: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"007D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"27D: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"007D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"27E: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"003F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"27F: G2=W=G2-1"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"000A" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"280: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"000A" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"281: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"000A" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"282: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"000A" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"283: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"000A" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"284: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"000A" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"285: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"C000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"286: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"40B4" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"287: Return, without flush "; wait for Tp; assert(t_P=X"0002" and t_IR=X"5999" and t_W=X"40B4" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"288: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0003" and t_IR=X"00F6" and t_W=X"00FA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"289: G7=#100"; wait for Tp; assert(t_P=X"0004" and t_IR=X"0000" and t_W=X"00FA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"28A: nop"; wait for Tp; assert(t_P=X"0005" and t_IR=X"660F" and t_W=X"00FA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"28B: x=Data(G7)"; wait for Tp; assert(t_P=X"0006" and t_IR=X"64EF" and t_W=X"00FA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"28C: G6=x, x=Data(G7)"; wait for Tp; assert(t_P=X"0007" and t_IR=X"51EE" and t_W=X"00FA" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"28D: G6=W=G6+1"; wait for Tp; assert(t_P=X"0008" and t_IR=X"0009" and t_W=X"0326" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"28E: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"6CEF" and t_W=X"0326" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"28F: Data(G7)=G6"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"0326" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"290: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0326" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"291: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0326" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"292: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"8000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"293: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"8169" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"294: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"8169" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"295: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"01F4" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"296: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"007E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"297: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"00FC" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"298: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"00FD" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"299: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"00F7" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"29A: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"00F7" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"29B: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"00F7" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"29C: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"00F7" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"29D: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"007F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"29E: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0009" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"29F: Skip when W=0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0009" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2A0: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0009" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2A1: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0009" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2A2: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0009" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2A3: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0009" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2A4: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2A5: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"02D3" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2A6: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"02D3" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2A7: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"01EF" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2A8: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"01EF" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2A9: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"01EF" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2AA: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2AB: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"05A6" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2AC: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"05A6" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2AD: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"03DE" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2AE: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"00FE" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2AF: G4=W=G0*2, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"01FC" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2B0: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"01FD" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2B1: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"01E1" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2B2: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"01E1" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2B3: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"01E1" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2B4: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"01E1" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2B5: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"00FF" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2B6: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0008" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2B7: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0008" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2B8: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0008" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2B9: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0008" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2BA: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0008" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2BB: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0008" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2BC: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2BD: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"0B4C" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2BE: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"0B4C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2BF: G1=W=G1*2 + CF, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"03C2" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2C0: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"03C2" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2C1: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"03C2" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2C2: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2C3: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"1698" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2C4: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"1698" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2C5: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"0784" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2C6: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"01FE" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2C7: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"03FC" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2C8: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"03FD" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2C9: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"0387" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2CA: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"0387" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2CB: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"0387" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2CC: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"0387" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2CD: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"01FF" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2CE: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0007" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2CF: Skip when W=0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0007" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2D0: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0007" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2D1: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0007" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2D2: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0007" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2D3: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0007" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2D4: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2D5: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"2D30" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2D6: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"2D30" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2D7: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"070E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2D8: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"070E" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2D9: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"070E" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2DA: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2DB: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"5A60" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2DC: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"5A60" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2DD: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"0E1C" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2DE: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"03FE" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2DF: G4=W=G0*2, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"07FC" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2E0: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"07FD" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2E1: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"061F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2E2: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"061F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2E3: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"061F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2E4: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"061F" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2E5: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"03FF" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2E6: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2E7: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2E8: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2E9: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2EA: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2EB: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0006" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2EC: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2ED: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"B4C0" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2EE: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"B4C0" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2EF: G1=W=G1*2 + CF, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"0C3E" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2F0: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0C3E" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2F1: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0C3E" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2F2: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"2F3: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"6980" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"2F4: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"6980" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2F5: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"187D" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2F6: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"07FE" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2F7: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"0FFC" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2F8: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"0FFD" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"2F9: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"0880" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2FA: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"0880" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2FB: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"0880" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2FC: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"0880" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2FD: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"07FF" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2FE: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"2FF: Skip when W=0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"300: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"301: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"302: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"303: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0005" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"304: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"305: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"D300" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"306: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"D300" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"307: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"1100" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"308: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"1100" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"309: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"1100" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"30A: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"30B: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"A600" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"30C: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"A600" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"30D: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"2201" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"30E: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"0FFE" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"30F: G4=W=G0*2, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"1FFC" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"310: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"1FFD" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"311: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"0204" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"312: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"0204" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"313: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"0204" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"314: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"0204" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"315: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"0FFF" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"316: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0004" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"317: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0004" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"318: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0004" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"319: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0004" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"31A: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0004" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"31B: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0004" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"31C: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"31D: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"4C00" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"31E: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"4C00" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"31F: G1=W=G1*2 + CF, latch CF"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"0409" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"320: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0409" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"321: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0409" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"322: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"323: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"9800" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"324: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"9800" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"325: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"0812" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"326: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"1FFE" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"327: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"3FFC" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"328: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"3FFD" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"329: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"C815" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"32A: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"1002" and t_W=X"C815" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"32B: Branch: P=2"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"0000" and t_W=X"C815" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"32C: nop"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"C815" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"32D: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0003" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"32E: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0003" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"32F: Branch: P=-D"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0003" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"330: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0003" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"331: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0003" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"332: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0003" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"333: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"334: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"3000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"335: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"3000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"336: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"1025" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"337: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"1025" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"338: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"1025" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"339: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"33A: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"6000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"33B: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"6000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"33C: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"204A" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"33D: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"3FFC" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"33E: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"7FF8" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"33F: G4=W=G4+1"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"7FF9" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"340: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"A051" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"341: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"1002" and t_W=X"A051" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"342: Branch: P=2"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"0000" and t_W=X"A051" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"343: nop"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"A051" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"344: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"345: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"346: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"347: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"348: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"349: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0002" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"34A: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"34B: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"C000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"34C: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"C000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"34D: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"4094" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"34E: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"4094" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"34F: nop"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"4094" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"350: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"351: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"8000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"352: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"8000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"353: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"8129" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"354: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"7FF8" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"355: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"FFF0" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"356: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"FFF1" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"357: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"8138" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"358: Skip when W=>0"; wait for Tp; assert(t_P=X"00A4" and t_IR=X"1002" and t_W=X"8138" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"359: Branch: P=2"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"0000" and t_W=X"8138" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"35A: nop"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"8138" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"35B: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"35C: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"1FF3" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"35D: Branch: P=-D"; wait for Tp; assert(t_P=X"009C" and t_IR=X"0000" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"35E: nop"; wait for Tp; assert(t_P=X"009D" and t_IR=X"804A" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"35F: Call 94"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"360: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0001" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"361: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"362: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"363: Return, without flush "; wait for Tp; assert(t_P=X"009D" and t_IR=X"5999" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"364: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009E" and t_IR=X"804A" and t_W=X"0253" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"365: Call 94"; wait for Tp; assert(t_P=X"0094" and t_IR=X"0000" and t_W=X"0253" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"366: nop"; wait for Tp; assert(t_P=X"0095" and t_IR=X"5811" and t_W=X"0253" and t_SP=X"3E" and t_RP=X"7C" and t_cv="10") report"367: S1=W=S1*2, latch CF"; wait for Tp; assert(t_P=X"0096" and t_IR=X"5900" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"368: S0=W=S0*2 + CF, latch CF"; wait for Tp; assert(t_P=X"0097" and t_IR=X"0009" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7C" and t_cv="00") report"369: Return, without flush "; wait for Tp; assert(t_P=X"009E" and t_IR=X"5999" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"36A: G1=W=G1*2 + CF, latch CF"; wait for Tp; assert(t_P=X"009F" and t_IR=X"5888" and t_W=X"04A6" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"36B: G0=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A0" and t_IR=X"58C8" and t_W=X"FFF0" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"36C: G4=W=G0*2, latch CF"; wait for Tp; assert(t_P=X"00A1" and t_IR=X"51CC" and t_W=X"FFE0" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"36D: G4=W=G4+1"; wait for Tp; assert(t_P=X"00A2" and t_IR=X"419C" and t_W=X"FFE1" and t_SP=X"3E" and t_RP=X"7D" and t_cv="10") report"36E: W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A3" and t_IR=X"0B00" and t_W=X"04C5" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"36F: Skip when W=>0"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00A4" and t_IR=X"0000" and t_W=X"04C5" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"370: nop"; wait for Tp; assert(t_P=X"00A5" and t_IR=X"499C" and t_W=X"04C5" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"371: G1=W=G1+(-G4), latch CF"; wait for Tp; assert(t_P=X"00A6" and t_IR=X"5188" and t_W=X"04C5" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"372: G0=W=G0+1"; wait for Tp; assert(t_P=X"00A7" and t_IR=X"53AA" and t_W=X"FFF1" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"373: G2=W=G2-1"; wait for Tp; assert(t_P=X"00A8" and t_IR=X"0600" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"374: Skip when W=0"; wait for Tp; assert(t_P=X"00A9" and t_IR=X"0000" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"375: nop"; wait for Tp; assert(t_P=X"00AA" and t_IR=X"4C18" and t_W=X"0000" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"376: S1=W=G0"; wait for Tp; assert(t_P=X"00AB" and t_IR=X"0009" and t_W=X"FFF1" and t_SP=X"3E" and t_RP=X"7D" and t_cv="00") report"377: Return, without flush "; wait for Tp; assert(t_P=X"00C6" and t_IR=X"0814" and t_W=X"FFF1" and t_SP=X"3E" and t_RP=X"7E" and t_cv="00") report"378: SP=SP+1"; wait for Tp; assert(t_P=X"00C7" and t_IR=X"5100" and t_W=X"FFF1" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"379: S0=W=S0+1"; wait for Tp; assert(t_P=X"00C8" and t_IR=X"0008" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"37A: nop, IDLE"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"37B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"37C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"37D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"37E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"37F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"380: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"381: Call 10"; wait for Tp; assert(t_P=X"0010" and t_IR=X"0000" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"382: nop"; wait for Tp; assert(t_P=X"0011" and t_IR=X"0409" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"383: Return"; wait for Tp; assert(t_P=X"0002" and t_IR=X"0000" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"384: nop"; wait for Tp; assert(t_P=X"0003" and t_IR=X"00F6" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"385: G7=#100"; wait for Tp; assert(t_P=X"0004" and t_IR=X"0000" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"386: nop"; wait for Tp; assert(t_P=X"0005" and t_IR=X"660F" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"387: x=Data(G7)"; wait for Tp; assert(t_P=X"0006" and t_IR=X"64EF" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"388: G6=x, x=Data(G7)"; wait for Tp; assert(t_P=X"0007" and t_IR=X"51EE" and t_W=X"FFF2" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"389: G6=W=G6+1"; wait for Tp; assert(t_P=X"0008" and t_IR=X"0009" and t_W=X"0327" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"38A: Return, without flush "; wait for Tp; assert(t_P=X"00C9" and t_IR=X"6CEF" and t_W=X"0327" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"38B: Data(G7)=G6"; wait for Tp; assert(t_P=X"00CA" and t_IR=X"1FFC" and t_W=X"0327" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"38C: Branch: P=-4"; wait for Tp; assert(t_P=X"00C6" and t_IR=X"0000" and t_W=X"0327" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"38D: nop"; wait for Tp; assert(t_P=X"00C7" and t_IR=X"5100" and t_W=X"0327" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"38E: S0=W=S0+1"; wait for Tp; assert(t_P=X"00C8" and t_IR=X"0008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"38F: nop, IDLE"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"390: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"391: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"392: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"393: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"394: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"395: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"396: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"397: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"398: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"399: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"39A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"39B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"39C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"39D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"39E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"39F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3A9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3AA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3AB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3AC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3AD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3AE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3AF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3B9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3BA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3BB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3BC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3BD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3BE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3BF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3C9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3CA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3CB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3CC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3CD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3CE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3CF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3D9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3DA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3DB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3DC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3DD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3DE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3DF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3E9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3EA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3EB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3EC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3ED: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3EE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3EF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3F9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3FA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3FB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3FC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3FD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3FE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"3FF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"400: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"401: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"402: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"403: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"404: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"405: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"406: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"407: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"408: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"409: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"40A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"40B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"40C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"40D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"40E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"40F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"410: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"411: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"412: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"413: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"414: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"415: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"416: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"417: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"418: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"419: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"41A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"41B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"41C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"41D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"41E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"41F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"420: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"421: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"422: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"423: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"424: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"425: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"426: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"427: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"428: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"429: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"42A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"42B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"42C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"42D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"42E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"42F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"430: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"431: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"432: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"433: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"434: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"435: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"436: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"437: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"438: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"439: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"43A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"43B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"43C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"43D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"43E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"43F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"440: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"441: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"442: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"443: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"444: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"445: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"446: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"447: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"448: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"449: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"44A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"44B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"44C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"44D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"44E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"44F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"450: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"451: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"452: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"453: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"454: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"455: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"456: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"457: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"458: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"459: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"45A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"45B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"45C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"45D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"45E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"45F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"460: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"461: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"462: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"463: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"464: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"465: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"466: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"467: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"468: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"469: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"46A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"46B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"46C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"46D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"46E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"46F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"470: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"471: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"472: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"473: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"474: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"475: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"476: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"477: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"478: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"479: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"47A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"47B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"47C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"47D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"47E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"47F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"480: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"481: Call 10"; wait for Tp; assert(t_P=X"0010" and t_IR=X"0000" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"482: nop"; wait for Tp; assert(t_P=X"0011" and t_IR=X"0409" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"483: Return"; wait for Tp; assert(t_P=X"0002" and t_IR=X"0000" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"484: nop"; wait for Tp; assert(t_P=X"0003" and t_IR=X"00F6" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"485: G7=#100"; wait for Tp; assert(t_P=X"0004" and t_IR=X"0000" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"486: nop"; wait for Tp; assert(t_P=X"0005" and t_IR=X"660F" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"487: x=Data(G7)"; wait for Tp; assert(t_P=X"0006" and t_IR=X"64EF" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"488: G6=x, x=Data(G7)"; wait for Tp; assert(t_P=X"0007" and t_IR=X"51EE" and t_W=X"FFF3" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"489: G6=W=G6+1"; wait for Tp; assert(t_P=X"0008" and t_IR=X"0009" and t_W=X"0328" and t_SP=X"3F" and t_RP=X"7D" and t_cv="00") report"48A: Return, without flush "; wait for Tp; assert(t_P=X"00C9" and t_IR=X"6CEF" and t_W=X"0328" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"48B: Data(G7)=G6"; wait for Tp; assert(t_P=X"00CA" and t_IR=X"1FFC" and t_W=X"0328" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"48C: Branch: P=-4"; wait for Tp; assert(t_P=X"00C6" and t_IR=X"0000" and t_W=X"0328" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"48D: nop"; wait for Tp; assert(t_P=X"00C7" and t_IR=X"5100" and t_W=X"0328" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"48E: S0=W=S0+1"; wait for Tp; assert(t_P=X"00C8" and t_IR=X"0008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"48F: nop, IDLE"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"490: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"491: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"492: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"493: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"494: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"495: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"496: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"497: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"498: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"499: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"49A: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"49B: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"49C: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"49D: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"49E: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"49F: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4A9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4AA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4AB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4AC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4AD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4AE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4AF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4B9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4BA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4BB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4BC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4BD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4BE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4BF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4C9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4CA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4CB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4CC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4CD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4CE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4CF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4D9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4DA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4DB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4DC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4DD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4DE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4DF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4E9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4EA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4EB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4EC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4ED: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4EE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4EF: Call 10"; wait for Tp; -- P IR W SP RP cv assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F0: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F1: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F2: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F3: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F4: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F5: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F6: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F7: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F8: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4F9: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4FA: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4FB: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4FC: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4FD: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4FE: Call 10"; wait for Tp; assert(t_P=X"00C9" and t_IR=X"8008" and t_W=X"FFF4" and t_SP=X"3F" and t_RP=X"7E" and t_cv="00") report"4FF: Call 10"; wait for Tp; wait; end process; end mixed; @ 1.1.1.1 log @Imported sources @ text @@