------------------------------------------------------------------------------ -- Title : SEL_MUX -- Project : ROBOTIC ARM CONTROLLER ------------------------------------------------------------------------------- -- File : sel_mux.vhd -- Author : R.SATHISH KUMAR -- Created : 25-4-2001 -- Last update : ------------------------------------------------------------------------------- -- Description: -- This vhdl module selects full step or half step mode depending upon --STEP_SEL -- control signal. ---------------------------------------------------------------------- --SEL_MUX ------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use work.std_arith.all; entity sel_mux is port( DATA_OUT : in STD_LOGIC_VECTOR(3 downto 0); DATA_OUT_H : in STD_LOGIC_VECTOR(3 downto 0); STEP_SEL: in STD_LOGIC; ARM:out STD_LOGIC_VECTOR(3 downto 0)); end sel_mux; architecture bhv of sel_mux is begin ARM <= DATA_OUT when STEP_SEL = '1' else DATA_OUT_H ; end bhv;